Download PDF
ads:
“Júlio de Mesquita Filho”
Faculdade de Engenharia - Campus de Ilha Solteira
Programa de Pós Graduação em Engenharia Elétrica
Laboratório de Eletrônica de Potência - LEP
Jurandir de Oliveira Soares
Controle Digital Através de Dispositivo FPGA Aplicado a um
Retificador Trifásico Híbrido operando com Modulação por
Histerese Variável
Orientador:
Prof. Dr. Carlos Alberto Canesin
Monografia submetida à Faculdade de Engenharia
de Ilha Solteira – FEIS/UNESP – como parte dos
requisitos exigidos para a defesa de Doutorado.
Ilha Solteira (SP), Dezembro de 2008.
ads:
Livros Grátis
http://www.livrosgratis.com.br
Milhares de livros grátis para download.
Sumário
1 – Introdução Geral ............................................................................................8
1.1 – Parâmetros de Análise para as Estruturas Retificadoras.........................................9
1.1.1 – Ondulação
(Ripple)
da Tensão de Saída......................................................9
1.1.2 – O Fator de Potência e a Distorção Harmônica Total.................................10
1.1.3 – Fontes de Distorção Harmônica e seus Efeitos .........................................12
1.2 – Classificação Topológica dos Retificadores Trifásicos com Correção do Fator
de Potência......................................................................................................................13
1.2.1 – Retificadores Trifásicos Não-Controlados com Correção do Fator de
Potência .................................................................................................................14
1.2.2 – Retificadores Trifásicos Controlados com Correção do Fator de
Potência ................................................................................................................28
1.2.3 – Retificadores Trifásicos Híbridos..............................................................34
1.3 – Motivação e Proposta para o Trabalho .................................................................41
1.3.1 – Controle por Corrente de Pico...................................................................41
1.3.2 – Controle por Corrente Média.....................................................................43
1.3.3 – Controle por Histerese (Modulação por Limites de Corrente – MLC) .....44
2 – Análise Quantitativa do Retificador Trifásico Híbrido com Correção
do Fator de Potência (RTH)...............................................................................47
2.1 – Introdução ..............................................................................................................47
2.2 – Descrição da Análise Quantitativa.........................................................................48
2.2.1 – Decomposição em Série de Fourier das Correntes de Entrada dos
Retificadores Controlado e Não Controlado .........................................................62
2.2.2 – Análise das Potências Média e Aparente em Função de uma DHT
Imposta para as Correntes de Entrada ...................................................................74
2.3 – Conclusões.............................................................................................................93
ads:
3 – Análise do Funcionamento e Projeto do Conversor SEPIC.....................94
3.1 – Introdução ..............................................................................................................94
3.2 – Considerações e Simplificações da Análise...........................................................94
3.3 – Análise no Período da rede CA de Alimentação....................................................95
3.3.1 – Os Valores de Pico de Entrada .................................................................96
3.3.2 – Os Valores Eficazes de Entrada ................................................................97
3.3.3 – Os Valores Médios de Entrada .................................................................97
3.3.4 – Os Valores Médios de Saída .....................................................................98
3.3.5 – Potência Ativa de Entrada e de Saída........................................................99
3.4 – Análise do Conversor SEPIC
1
no Período de Comutação ...................................100
3.4.1 – Análise Qualitativa..................................................................................101
3.4.2 – Análise Quantitativa................................................................................106
3.5 – Considerações Gerais sobre a Modulação por Histerese .....................................113
3.6 – Análise Teórica da Modulação por Histerese Digital Proposta...........................117
3.7 – Balanço de Energia no SEPIC
1
............................................................................121
3.8 – Refinamento das Equações para o Projeto do SEPIC
1
........................................124
3.8.1 – Conversor SEPIC
1
Operando em um Período Genérico de Comutação
T
s
, com o parâmetro K < 2: .................................................................................124
3.8.2 – Conversor SEPIC
1
Operando na Condição de Esforço máximo.............129
3.9 – Conclusões...........................................................................................................135
4 – Metodologia de Projeto para o Retificador Trifásico Híbrido...............136
4.1 – Introdução ............................................................................................................136
4.2 – Projeto do Retificador não Controlado (Retif-1) .................................................137
4.3 – Projeto do Retificador Controlado (Retif-2)........................................................138
4.4 – Escolha do Ponto de Operação do Retificador Trifásico Híbrido........................142
4.5 – Cálculo do Filtro de Saída do Retificador Não controlado..................................147
4.5.1 – O Cálculo do Indutor de Saída ...............................................................148
4.5.2 – O Cálculo do Capacitor de Saída ............................................................150
4.6 – Projeto dos Núcleos Magnéticos para os Indutores.............................................151
4.6.1 – Projeto dos Núcleos para os Indutores de Entrada e de Saída do
SEPIC
1
.................................................................................................................151
4.6.2 – Projeto dos Núcleos dos Indutores de Saída do Retificador Não-
controlado ...........................................................................................................156
4.7 – Circuito Snubber para o Interruptor Principal do SEPIC
1
...................................163
4.8 – Cálculo Térmico dos Semicondutores .................................................................165
4.8.1 – Cálculo Térmico dos Semicondutores do Retificador Monofásico
SEPIC
1
................................................................................................................166
4.8.2 – Cálculo Térmico dos Semicondutores do Retificador Trifásico Não-
controlado ...........................................................................................................169
4.9 – Perdas Totais no Retificador Trifásico Híbrido...................................................170
4.10 – Parâmetros Projetados para o Circuito do Retificador Trifásico Híbrido..........171
4.11 – Conclusões.........................................................................................................173
5 – Controle Digital, Implementado Através de VHDL, para Imposição
das Formas de Onda das Correntes de Entrada do Retificador Trifásico
Híbrido...............................................................................................................174
5.1 – Introdução ............................................................................................................174
5.2 – Dispositivos
Lógicos programáveis FPGA com Uso da Linguagem de
Descrição de Hardware VHDL.....................................................................................174
5.3 – Aspectos Gerais da Lógica de Controle Proposta................................................177
5.3.1 – Circuito de Aquisição das Correntes ......................................................180
5.3.2 – Circuito do Sensor de Tensão .................................................................182
5.3.3 – Circuito do Sensor de Corrente ..............................................................183
5.3.4 – Circuito de Comando do SEPIC
1
.............................................................185
5.4 – Descrição do Código VHDL para a Obtenção dos Pulsos de Comando do
SEPIC-1 ........................................................................................................................186
5.4.1 – Uma Visão Geral do Código VHDL Proposto........................................186
5.4.2 –
Componente A:
Protocolo de Aquisição de Correntes ............................189
5.4.3 –
Componente B:
Gera a senóide de referência..........................................191
5.4.4 –
Componente C:
Controle do Formato da Corrente de Referência para o
SEPIC
1
.................................................................................................................192
5.4.5 –
Componente D:
Gera a Corrente de Referência para o SEPIC
1
..............193
5.4.6 –
Componente E:
Modulador Histerese.....................................................193
5.5 – Otimização da Estratégia de Controle..................................................................196
5.5.1 – Filtro Digital............................................................................................200
5.6 – Acionamento do Retificador Trifásico Híbrido...................................................204
5.7 – Conclusões...........................................................................................................206
6 – Principais Resultados Experimentais para o Retificador Trifásico
Híbrido (RTH)...................................................................................................207
6.1 – Introdução ............................................................................................................207
6.2 – Protótipo Implementado.......................................................................................207
6.3 – Principais Resultados Experimentais...................................................................214
6.4 – Análise do Rendimento........................................................................................227
6.5 – Conclusões...........................................................................................................233
7 – Conclusões Gerais.......................................................................................234
Referências bibliográficas................................................................................236
Apêndice A : Código VHDL – Modulação por histerese.............................243
RESUMO
O objetivo deste trabalho é a concepção de uma lógica de controle digital com
modulação por histerese variável usando um dispositivo programável FPGA (Field
Programmable Gate Array) e linguagem de descrição de hardware VHDL (Hardware
Description Language), aplicada em um retificador trifásico híbrido para a obtenção de um
Fator de Potência (FP) de entrada quase unitário.
O Retificador Trifásico Híbrido (RTH) é uma estrutura composta por um retificador à
diodos de 6 pulsos com três retificadores monofásicos SEPIC conectados em paralelo, cujo o
controle digital proposto é capaz de impor a forma de onda das correntes de entrada, obtendo-
se uma Distorção Harmônica Total (DHT) reduzida e um fator de potência (FP) quase
unitário, sendo que nesta condição os retificadores monofásicos SEPIC conduzirão no
máximo 33% da potência ativa total. Além disso, o uso de FPGAs dará ao Retificador
Híbrido Trifásico uma flexibilidade adicional na operação, podendo substituir vários sistemas
de múltiplos pulsos convencionais e reduzir custos para o sistema de controle por eliminar a
confecção de circuitos complexos de controle analógico.
Apresenta-se uma análise detalhada e metodologia de projeto para o Retificador
Híbrido Trifásico (RTH) que possibilita relacionar o valor da DHT das correntes de entrada
com os valores das potências média e aparente processadas pelas estruturas controlada e não-
controlada, podendo-se prever o desempenho global do sistema. Serão apresentados detalhes
sobre o funcionamento do código VHDL e da modulação por histerese variável empregada, e
por fim os resultados experimentais de um protótipo implementado para 3,0 kW.
O código VHDL desenvolvido, associado à lógica de controle digital proposta, foi
implementado através de um dispositivo FPGA da Xilinx – Spartan XC2S200E, módulo
digilab-D2E, cujos sinais de controle gerados resultaram em correntes de entrada
praticamente senoidais com um valor reduzido de DHT (entre 4,03% e 4,54%). A potência
processada pelo retificador controlado corresponde a 32% da potência ativa total entregue à
carga.
Portanto, do ponto vista da eficiência energética, tais características de operação torna
o retificador híbrido bastante atrativo comercialmente e recomendada para instalações
industriais (potências médias e elevadas).
Palavras chave – Controle digital, Dispositivo FPGA, Linguagem VHDL, Retificador
Híbrido, Correção Ativa do Fator de Potência, Modulação por Histerese.
ABSTRACT
The objective of this work is the development of a digital control logic with variable
hysteresis modulation using a FPGA (Field Programmable Gate Array) device and VHDL
(Hardware Description Language), applied at a hybrid three-phase rectifier in order to obtain
an almost unitary input power factor (PF).
The hybrid three-phase rectifier is a structure composed by parallel SEPIC controlled
single-phase rectifiers connected to each leg of a standard 6-pulses uncontrolled diode
rectifier, whose proposed digital control is capable to impose input current waveforms,
resulting in a reduced THD (Total Harmonic Distortion) and almost unitary input power
factor, being that in this operation condition the parallel SEPIC single-phase rectifiers will
process only 33.0 % of total active power. Moreover, the use of FPGA will provide to hybrid
three-phase rectifier an additional flexbility in its operation, making possible the replacement
of same conventional systems of multiple pulses and reducing costs for the control system,
through the elimination of complex analogical circuitry used in these conventional systems.
In this work is presented a detailed analysis and design methodology to hybrid three-
phase rectifier that establishes a relationship between the THD imposed to line input currents,
with the average and apparent powers processed through controlled and uncontrolled
structures, making possible to know previously the global system performance. It will be
presented details about the operation of the VHDL code and variable hysteresis modulation
proposed, and finally the experimental results from an implemented 3.0 kW prototype.
The developed VHDL code, considering the proposed digital control logic, was
implemented through a Xilinx’s FPGA device – Spartan XC2S200E, digilab-D2E module,
whose generated control signals resulted in input currents with practically sinusoidal
waveforms and reduced value of THD (among 4.03% and 4.54%). The processed power by
controlled rectifier was only 32.0 % of the total active output power.
Therefore, considering the point of view of energy efficiency, such characteristics of
operation, making the proposed hybrid rectifier a solution economically viable for industrial
installations.
Keywords – Active Power-Factor Correction, Digital Control, FPGA Device, Hybrid
Rectifier, Hysteresis Modulation.
8
CAPÍTULO 1
1 - Introdução Geral
A partir de 1912, no início do século XX, alguns autores consideram como o início da
história da eletrônica de potência, com o surgimento dos amplificadores magnéticos série e
paralelo (referenciados na literatura como reatores saturáveis e desenvolvidos pelo E. F. W.
Alexanderson da General Electric Company) [1]. O circuito resultante foi um conversor CA-
CA bastante robusto e sem partes móveis, onde o controle do fluxo de potência da fonte para
a carga era realizado através de uma corrente CC de pequena amplitude, injetada nos
enrolamentos para variar a impedância dos reatores conectados entre a fonte de alimentação e
a carga. Em 1916 já era possível processar 70 kW através do amplificador magnético o qual
foi utilizado para estabelecer a primeira comunicação via rádio entre os Estados Unidos da
América e o continente europeu. Na década de 20, do século XX, já se destacavam outros
dispositivos estáticos para o controle do fluxo de potência (pesquisa iniciada por Lee
DeForest, a partir de 1907), os tubos à vácuo (vacuum tubes) contendo mercúrio (Hg), cuja
ignição era controlada externamente para criar o arco de mercúrio e estabelecer a conexão
entre o cátodo e ânodo do dispositivo. Entretanto, uma maior eficiência no controle do arco
de mercúrio só ocorreu a partir de 1933 (desenvolvido por Joseph Slepian, L. R. Ludwig e
outros pesquisadores da Westinghouse), com o desenvolvimento dos retificadores controlados
a arco de mercúrio (mercury-arc rectifier), os quais imperaram até por volta de 1950 [1]. Em
1948, possivelmente, ocorreu a maior revolução na história da engenharia elétrica com a
invenção do transistor semicondutor. Posteriormente, entre os anos de 1950 e 1960,
dispositivos de processamento de potência, como o diodo e o tiristor SCR (Silicon Controlled
Rectifier – fabricado pela General Eletric) tornaram-se viáveis comercialmente e o primeiro
retificador à diodos com capacidade de processar uma corrente maior do que 100 kA foi
disponibilizado no mercado em 1960, sendo considerada uma evolução significativa da
eletrônica de potência. Dez anos mais tarde o primeiro retificador controlado à tiristor com a
capacidade de processar 100 kA também foi operacionalizado [1-4]. A partir daí, os
retificadores ou conversores CA-CC (utilizando dispositivos eletrônicos para converter
tensões e correntes alternadas (CA), em tensões e correntes contínuas (CC)), têm sido
amplamente empregados em vários segmentos da indústria, como por exemplo: Suprimento
ininterrupto de potência (UPSs - Uninterruptible Power Supplies), sistemas HVDC (High
Voltage Direct Current), sistemas de armazenamento de energia de baterias (BESSs - Battery
9
Energy Storage Systems), fontes alternativas de energia, tais como sistemas fotovoltaicos
(PVs – Photovoltaic Systems), suprimento de potência em sistemas de telecomunicações,
acionamento elétrico e controle de velocidade de motores (ASDs – Adjustable-speed drives),
etc. [5-7].
Uma observação importante é que, em aplicações industriais, a potência processada
poderá atingir a ordem de grandeza de Mega Watts, portanto, os retificadores são estruturas
trifásicas para tais potências, visando o equilíbrio de carga entre as fases do sistema elétrico.
Assim, o escopo deste trabalho se restringe ao estudo dos retificadores trifásicos .
1.1 - Parâmetros de Análise para as Estruturas Retificadoras
De um modo geral e, idealmente, o que se espera de um retificador trifásico é que suas
características de entrada e de saída sejam as melhores possíveis, ou seja [8]:
- A tensão de saída deverá ser estável e sem ondulação (ripple);
- O fator de potência (FP) deverá ser unitário.
Atendendo tais condições relacionadas acima, a tensão de barramento da rede de
alimentação terá a sua forma de onda senoidal preservada, evitando-se os prejuízos inerentes
de uma tensão distorcida às demais cargas conectadas neste barramento.
1.1.1 – Ondulação da Tensão de Saída
A qualidade da tensão de saída poderá ser avaliada através do parâmetro denominado
fator de forma (FF). O fator de forma é definido de acordo com a equação (1.1).
Omd
Oef
V
V
FF =
(1.1)
Onde:
V
Oef
: Valor eficaz da tensão de saída do retificador;
V
Omd
: Valor médio da tensão de saída do retificador.
Sendo:
()
dt.tv.
T
1
V
T
0
OOmd
= (1.2)
10
()
dt.tv.
T
1
V
T
0
2
OOef
= (1.3)
Onde:
v
O
(t)
: Valor instantâneo da tensão de saída do retificador;
T
: Período da tensão v
O
(t).
A magnitude do ripple da tensão de saída está relacionada com a presença de
componentes harmônicas as quais são computadas no cálculo do valor eficaz, através da
equação (1.3). Numa situação ideal (inexistência de ripple), o fator de forma (FF) é igual a
um, ou seja, V
Omd
= V
Oef
.
1.1.2 – O Fator de Potência e a Distorção Harmônica Total
O Fator de potência (FP) é um parâmetro de qualidade definido como a relação das
potências média (P) e aparente (S), entregues a uma carga ou dispositivo. No caso específico
de um retificador, trata-se das potências média e aparente fornecidas pela rede de alimentação,
vistas pela sua entrada [8-9]. Na seqüência, tem-se a equação genérica para o cálculo do
Fator de Potência, independente das formas de onda dos sinais de tensão e corrente
envolvidas, contanto que sejam periódicos.
()()
efef
T
0
I.V
dtti.tv.
T
1
S
P
FP
== (1.4)
Sendo que:
() ()
n
1n
nn
mdmd
T
0
cos.
2
I.V
I.Vdtti.tv.
T
1
P ϕ+==
=
(1.5)
=
+=
1n
2
n
2
mdef
2
V
VV
(1.6)
=
+=
1n
2
n
2
mdef
2
I
II
(1.7)
Onde:
n
: Ordem harmônica;
11
φ
n
: Ângulo de defasagem entre as componentes de tensão e corrente em uma
ordem harmônica “n”;
T
: Período da componente fundamental da tensão de entrada;
V
md
: Valor médio da tensão de entrada;
I
md
: Valor médio da corrente de entrada;
v(t) :Valor instantâneo da tensão de entrada;
i(t)
: Valor instantâneo da corrente de entrada;
V
ef
: Valor eficaz da tensão de entrada;
I
ef
: Valor eficaz da corrente de entrada;
V
n
: Valor de pico da componente harmônica da tensão de entrada para uma
ordem harmônica “n”;
I
n
: Valor de pico da componente harmônica da corrente de entrada para uma
ordem harmônica “n”.
Considerando que a tensão de entrada seja puramente senoidal, o seu valor médio
(V
md
) e as suas componentes harmônicas de ordem n>1 são nulas. Portanto, as equações (1.5)
e (1.6), são simplificadas conforme a seguir:
()() ()
1
11
T
0
cos.
2
I.V
dtti.tv.
T
1
P ϕ==
(1.8)
2
V
V
1
ef
= (1.9)
Onde:
φ
1
: Ângulo de defasagem entre as componentes fundamentais da tensão e da
corrente de entrada;
cos(φ
1
)
: Fator de deslocamento angular para a componente fundamental;
I
1
: Valor de pico da componente fundamental da corrente de entrada;
V
1
: Valor de pico da componente fundamental da tensão de entrada.
Na seqüência, define-se um dos parâmetros mais importantes para a análise da
qualidade das tensões ou de correntes nos barramentos de alimentação, a DHT (Distorção
Harmônica Total). A DHT da corrente é a relação entre a soma quadrática das componentes
harmônicas da corrente pela sua componente fundamental (I
1
).
12
1
2n
2
n
I
I
DHT
=
= (1.10)
Substituindo-se as equações (1.7), (1.8) e (1.9) em (1.4), obtém-se a equação para o
cálculo do fator de potência, considerando-se uma tensão de entrada puramente senoidal:
(
)
()
2
1
DHT1
cos
FP
+
ϕ
= (1.11)
Sendo que:
()
2
DHT1
1
Harmônica Distorção deFator
+
=
(1.12)
1.1.3 – Fontes de Distorção Harmônica e seus Efeitos
Um dos temas principais, discutido dentro do assunto “Qualidade de Energia” nos
últimos anos, tem sido a presença de componentes harmônicas nos barramentos de
alimentação das cargas. Tal fato deve-se principalmente ao conteúdo harmônico (DHT)
elevado da corrente drenada por cargas (equipamentos) de natureza não-linear.
Na seqüência apresentam-se algumas fontes de distorção harmônica [9]:
1) Retificadores controlados, ou não, com carga R-L e indutância de comutação:
- Resultam em distorção da tensão de alimentação nos instantes de comutação;
- Indesejável queda de tensão média na carga devido à indutância de comutação.
2) Retificadores com filtro capacitivo (Monofásicos ou trifásicos)
:
- Corrente de entrada de forma impulsiva com elevada DHT, e, em conseqüência,
reduzido FP.
3) Reatores controlados a Tiristores (RCT)
:
- Presença de harmônicas ímpares de corrente, com amplitude dependente de α
(ângulo de disparo);
4) Fornos a arco (Por exemplo, na produção de aços):
- Harmônicas são imprevisíveis (Harmônicas pares, ímpares e fracionárias), sendo
2ª e 7ª predominantes.
A presença de componentes harmônicas no sistema elétrico causa efeitos indesejáveis,
resultando em prejuízos tanto para as concessionárias de distribuição de energia elétrica,
quanto para os consumidores.
13
Dentre estes efeitos, alguns são discutidos a seguir [9]:
Aumento das perdas no ferro e no cobre (efeito pelicular) nos enrolamentos
dos transformadores, motores e geradores, com subseqüentes incrementos nas
temperaturas e maiores solicitações dos isolamentos, comprometendo o
rendimento e a vida útil desses equipamentos;
Alterações de torques (5ª, 11ª, 17ª, etc., harmônicas) e o surgimento de
oscilações mecânicas (5ª e 7ª harmônicas no estator e 6ª no rotor) em motores e
geradores;
Erros de leitura em medidores de energia elétrica (kWh) do tipo indução,
devido a torques positivos ou negativos;
Funcionamento inadequado de dispositivos eletrônicos de medição, cujas
operações dependem da qualidade das formas de onda das tensões e correntes;
Possibilidade de ressonâncias em capacitores podendo resultar em níveis
excessivos de tensão e/ou corrente. Além disso, tem-se o aumento de perdas
devido à resistência série equivalente, causando a elevação de temperatura e a
redução da vida útil do componente;
Aumento das perdas nos cabos de alimentação, devido à elevação dos valores
eficazes da corrente e também devido ao aumento da resistividade do condutor,
causado pelo efeito pelicular.
1.2 - Classificação Topológica dos Retificadores Trifásicos com Correção do
Fator de Potência
Considerando-se as características de reduzidos FPs dos retificadores convencionais
com filtros capacitivos (C), ou, filtros indutivo-capacitivo (LC), na saída dos mesmos, nas
últimas duas décadas diversas estruturas foram desenvolvidas e propostas para a redução das
DHTs das correntes drenadas das fontes de alimentação em corrente alternada. Do ponto de
vista de topologia de retificadores com correção do fator de potência, geralmente são
encontrados na literatura dois grupos: Os controlados ou ativos e os não controlados ou
passivos, sendo constituídos da seguinte maneira [6, 7 e 10]:
- Retificadores não controlados ou passivos: Estes retificadores empregam
interruptores não controlados, como diodos, e elementos reativos, tais como, capacitores,
indutores e arranjos especiais de transformadores, possibilitando a correção do fator de
potência e oferecendo confiabilidade e robustez para a estrutura. No entanto, não possibilitam
14
a regulação da tensão de saída e podem resultar em estruturas volumosas, pesadas e de custo
elevado;
- Retificadores controlados ou ativos: Este grupo utiliza-se de interruptores ativos
para o seu funcionamento, tais como MOSFETs, IGBTs, GTOs e tiristores. Dentre estas
topologias controladas, encontram-se os retificadores PWM, os quais operam com freqüências
elevadas de comutação. A grande maioria dos retificadores PWM consegue impor um fator
de potência elevado, com redução de peso e volume e possibilitam a regulação da tensão de
saída; entretanto, algumas estruturas impõem também complexidade no circuito e aumento de
custos.
Buscando conciliar as vantagens dos retificadores não controlados e dos controlados
PWM com elevado fator de potência, foram desenvolvidos os denominados “retificadores
híbridos”, aplicados na indústria (potências médias e elevadas) [10]. Essa idéia é enfatizada
através de um diagrama simples, apresentado na Figura 1.1.
Classificação Topológica dos
Retificadores Trifásicos com
Correção do FP
Controlados
o
controlados
Híbridos
Figura 1.1 – Classificação topológica de retificadores trifásicos com correção do fator de potência.
Na seqüência serão apresentadas algumas estruturas pertencentes a cada um dos três
grupos, destacando-se suas principais vantagens e desvantagens.
1.2.1 - Retificadores Trifásicos Não-Controlados com Correção do Fator de
Potência
Tradicionalmente, os retificadores trifásicos são desenvolvidos usando ponte de
diodos e/ou tiristores para proporcionar o controle de potência, respectivamente. Neste
contexto, encontra-se a ponte completa de diodos, a ponte mista de diodos e tiristores e ponte
completa de tiristores, sendo as duas primeiras configurações unidirecionais em potência e a
terceira bidirecional em potência, porém não bidirecional em corrente [8]. Os retificadores
trifásicos com ponte de diodos, por sua simplicidade e baixo custo, são bastante populares em
15
algumas aplicações industriais e rurais, onde um link e/ou barramento CC intermediário
proporciona energia para outros circuitos. Na Figura 1.2 o retificador trifásico convencional,
a diodos, denominado Ponte de Graetz, com filtro capacitivo na saída é apresentado,
admitindo-se as tensões de linha (alimentação) equilibradas e senoidais [8]. Neste circuito, a
corrente de entrada tem a forma de pulsos estreitos de amplitude elevada, ocorrendo dois
pulsos durante cada semiciclo da tensão de entrada, conforme Figura 1.3.
D
1
D
3
D
5
D
2
D
4
D
6
V
b
(t)
V
c
(t)
C
O
R
O
V
a
(t)
N
i
a
(t)
Figura 1.2 – Retificador trifásico em ponte de Graetz, com filtro capacitivo na saída.
200V
-200V
830ms 835ms 840ms
0
-100V
100V
845ms
850ms
DHT=156%
FP=0,54
v
a
(t)
i
a
(t)
Figura 1.3 – Detalhe da tensão e corrente de entrada em uma fase do circuito da Figura 1.2.
Apesar dessa estrutura possuir vantagens, tais como: Robustez, peso reduzido,
simplicidade de funcionamento e baixo custo, a sua DHT da corrente é bastante elevada e o
fator de potência bastante reduzido. Além disso, para processar uma potência elevada, as
correntes de entrada atingem valores elevados de pico, aumentando-se os esforços nos
interruptores (diodos) por valores de pico e por valores eficazes de corrente, sendo portanto
tecnicamente inviável. Devido ao crescimento das aplicações dos retificadores trifásicos,
causando a distorção na tensão de barramento ou nos pontos de acoplamento de carga, foram
estabelecidas normas internacionais, tais como a IEC 61000-3-2, a IEC 61000-3-4 e IEEE
519, restringindo-se a injeção de correntes harmônicas nas redes de alimentação,
por parte
16
destes equipamentos [11-13]. Neste contexto, pesquisadores atuantes na área de eletrônica de
potência têm buscado ao longo dos anos desenvolver técnicas de filtragem capazes de
eliminar ou minimizar as componentes harmônicas das correntes características dos
retificadores trifásicos. Considerando-se a necessidade de corrigir o fator de potência,
reduzindo-se as taxas de distorção harmônica das correntes de entrada das estruturas
retificadoras, inicialmente não controladas, são apresentadas a seguir algumas alternativas
para estes circuitos.
1.2.1.1 – Retificador Trifásico a Diodos com Filtro Capacitivo e Indutores de Linha
Com o objetivo de atenuar a derivada das correntes de entrada do retificador trifásico
convencional e reduzir tanto os seus valores de pico quanto o ripple da corrente de saída,
empregam-se indutores na entrada em série com as fontes de alimentação, sendo um em cada
fase, conforme Figura 1.4 [8 e 14]. Com isso, as formas de onda das correntes de entrada
adquirem um formato mais senoidal, reduzindo-se a DHT e conseqüentemente melhorando-
se o fator de potência.
D
1
D
3
D
5
D
2
D
4
D
6
V
b
(t)
V
c
(t)
C
O
R
O
V
a
(t)
N
L
a
L
b
L
c
i
a
(t)
Figura 1.4 – Retificador trifásico a diodo com filtro capacitivo e indutores de linha.
200V
-200V
830ms 835ms 840ms
0
-100V
100V
845ms
850ms
DHT=30%
FP=0,92
v
a
(t)
i
a
(t)
Figura 1.5 – Detalhe da tensão e corrente de entrada em uma fase no circuito da figura 1.4.
17
A freqüência de corte desse filtro geralmente está em uma freqüência abaixo da 5ª
harmônica. Entretanto, é interessante que se investigue a possibilidade deste filtro entrar em
ressonância com outros elementos do circuito, o que seria indesejável, pois aumentaria a DHT
[15]. No entanto, muitas vezes a estrutura não atende as normas internacionais,
principalmente para potências elevadas.
1.2.1.2 – Retificador Trifásico a Diodos com Filtro Capacitivo e Indutivo do lado CC
Um outro modo bastante conhecido na literatura de se fazer a correção passiva é
utilizar um filtro indutivo na saída junto com o filtro capacitivo, mostrado através da Figura
1.6 [8]. A DHT também é reduzida e o fator de potência é elevado (comparando-se com a
estrutura apresentada na Figura 1.2) conforme Figura 1.7. A justificativa para que o fator de
potência desta estrutura seja um pouco mais elevado do que no caso anterior (filtro indutivo
na entrada e capacitivo na saída) é devido ao fato de que neste caso (filtro indutivo e
capacitivo na saída) não há defasagem entre as componentes fundamentais da tensão e
corrente de entrada. Os três retificadores trifásicos apresentados nas Figuras 1.2, 1,4 e 1,6 são
conhecidos como retificadores de “seis pulsos” devido ao fato da forma de onda da tensão CC
D
1
D
3
D
5
D
2
D
4
D
6
V
b
(t)
V
c
(t)
C
O
R
O
V
a
(t)
N
L
O
i
a
(t)
i
LO
(t)
Figura 1.6 – Retificador trifásico a diodo com filtro capacitivo e indutivo.
200V
-200V
830ms 835ms 840ms
0
-100V
100V
845ms
850ms
DHT=30,2%
FP=0,96
v
a
(t)
i
a
(t)
Figura 1.7 – Detalhe da tensão e corrente de entrada em uma fase no circuito da Figura 1.6.
18
de saída da ponte retificadora possuir seis pulsos em um período da tensão senoidal de
entrada. Portanto, o ripple da tensão CC de saída v
6p
(t) possui uma freqüência fundamental
correspondente a seis vezes a freqüência da tensão senoidal de entrada v
a
(t), conforme
destacado na Figura 1.8.
400V
-400V
0 4,167ms 8,33ms
0
-200V
200V
12,5ms
16,67ms
v
a
(t)
v
6p
(t)
Figura 1.8 – Detalhe da tensão de entrada em uma fase e da tensão retificada no lado CC.
As componentes harmônicas de magnitudes significativas, geradas pelas correntes de
entrada CA destas três estruturas (conforme Figuras 1.3, 1,5 e 1,7), são as seguintes: 1ª, 5ª, 7ª,
11ª, 13ª, etc. Estas harmônicas são denominadas “ímpares não triplas”. Já a saída CC deverá
conter as harmônicas triplas, pares, e de ordem zero, sendo: 0, 6ª, 12ª, 18ª, etc. Observa-se que
as demais componentes harmônicas existem, porém de magnitudes bastante reduzidas e,
portanto de pouca influência na DHT. Considerando a hipótese de que o retificador com filtro
indutivo e capacitivo na saída (Figura 1.6) possua um indutor com indutância de valor
suficientemente grande, pode-se desprezar a existência de ripple na corrente de saída CC,
desconsiderando-se a existência de harmônicas triplas e pares. Isto resulta em um retificador
trifásico de seis pulsos com uma fonte de corrente na saída, mostrado na Figura 1.9, e,
D
1
D
3
D
5
D
2
D
4
D
6
V
b
(t)
V
c
(t)
I
O
V
a
(t)
N
i
a
(t)
v
6p
(t)
+
-
Figura 1.9 – Retificador trifásico a diodo com uma fonte de corrente na saída.
19
200V
-200V
835ms 840ms
0
-100V
100V
845ms
850ms
v
a
(t)
i
a
(t)
830ms
Figura 1.10 – Detalhe da tensão e corrente de entrada em uma fase no circuito da Figura 1.9.
portanto, uma corrente de entrada idealizada e isenta de ripple conforme Figura 1.10.
Desse modo, decompondo-se a corrente de linha de entrada i
a
(t), visualizada na Figura
1.10, em série de Fourier, obtém-se a equação (1.13), contemplando-se apenas as
componentes harmônicas ímpares não triplas. Portanto, pode-se estabelecer que o retificador
trifásico de seis pulsos insere na rede de alimentação componentes harmônicos de ordens
n=6.q±1 e amplitudes (valor percentual) I
n
/I
1
=1/n, onde o índice “q” é uma variável inteira
maior do zero (q=1,2,3,4,..).
() ()
t.ω.nsen.
3
π.n
sen.
2
π.n
sen.i.
π.n
4
ti
LO
..1,5,7,11,.n
a
=
=
(1.13)
Sendo que:
r
f..2ω
π
=
(1.14)
Onde:
i
a
(t)
: Valor instantâneo da corrente de entrada do retificador, na fase “a”;
f
r
: Freqüência da componente fundamental das tensões da rede de alimentação;
ω
: Freqüência angular da componente fundamental das tensões da rede de
alimentação;
I
LO
: Valor médio da corrente através do indutor de filtro de saída L
O
.
Em uma análise mais rigorosa, na existência de ripple na corrente CC de saída, o
cálculo exato destas amplitudes requer que seja considerado o ripple da corrente CC de saída
refletido na corrente CA de entrada [15-16]. Deste modo, a amplitude da 5ª harmônica tende
a ser aumentada em até 20%, enquanto que as amplitudes harmônicas de maior ordem tendem
a decrescer. Além disso, harmônicas de freqüências e ordens não características são
encontradas, tipicamente menores do que a 5ª harmônica, por exemplo, a 3ª harmônica que
causa a saturação do núcleo de transformadores. Outros fatores que podem influenciar na
20
magnitude das componentes harmônicas são as derivadas de subida e descida das correntes de
entrada, decorrentes dos intervalos de comutação entre os diodos da ponte retificadora
trifásica. Na seqüência, apresentam-se as principais vantagens e desvantagens das estruturas
mostradas nas Figuras 1.4 e 1.6, com filtros indutivos na entrada ou na saída, com relação aos
retificadores controlados ou ativos:
Principais vantagens:
Simplicidade no projeto devido à ausência de malha de controle, resultando em
uma estrutura de baixo custo e robusta;
Obtém-se um elevado fator de potência com um mínimo de alteração na
estrutura original do retificador.
Principais desvantagens:
Volume elevado dos filtros devido à operação em baixa freqüência (freqüência
da rede). Adicionalmente, no caso do indutor de filtro de saída (Figura 1.6),
este deverá ser de dimensões bem elevadas para suportar toda a corrente de
carga, sem que ocorra a saturação do núcleo.
Não possibilita a regulação da tensão de saída;
Valores da DHT ainda elevados, podendo não atender às normas
internacionais, principalmente para aplicações industriais.
Portanto, permanecendo a necessidade de redução da DHT das correntes de entrada
dos retificadores trifásicos, foram desenvolvidas as técnicas de multipulsos, discutidas a
seguir [7].
1.2.1.3 – Retificador Trifásico a Diodos de Múltiplos Pulsos
O método de multipulsos é caracterizado pelo uso de múltiplos retificadores
alimentando uma carga em comum ou cargas independentes [7 e 17]. Tradicionalmente, esses
conversores são conectados através de transformadores de defasagem de modo que as
harmônicas geradas por um retificador sejam canceladas pelas harmônicas produzidas pelo
outro retificador. Os sistemas multipulsos possuem duas grandes vantagens, encontradas
simultaneamente, quais sejam:
1) Redução das componentes harmônicas das correntes CA de entrada;
2) Redução do ripple da tensão de saída CC.
Uma aplicação convencional utilizada para a redução das harmônicas dos retificadores
trifásicos é a conexão série ou paralela das saídas dos retificadores de seis pulsos, com o uso
de transformadores com defasagens, constituindo retificadores de múltiplos pulsos. A
21
construção de um retificador de 12 pulsos, utilizando a conexão série das saídas de dois
retificadores de seis pulsos, é mostrada através da Figura 1.11 [8].
Y - Y
- Y
1 : n
T
5
T
5
T
6
T
6
T
4
T
4
i
a2
(t)
v
b
(t)
v
c
(t)
v
a
(t)
3 : n
+30º
a
c
b
i
ca
i
ab
T
2
T
3
T
1
T
2
T
3
T
1
i
a1
(t)
C
C
A
2
B
A
a
b
c
A
B
N
C
O
c
a
r
g
a
L
O
I
o
+
-
i
a
(t)
Figura 1.11 – Retificador trifásico de 12 pulsos, conexão série.
A defasagem de 30º provocada pelo transformador -Y produz uma corrente i
a2
(t), a
qual, quando somada com i
a1
(t), elimina as componentes 5ª, 7ª, 17ª, 19ª, etc., harmônicas,
resultando na corrente i
a
(t), conforme Figura 1.12.
n.I
O
.(1+2/ )
3
n.I
O
. 1
3
i
a1
(t)
n.I
O
-n.I
O
i
a2
(t)
i
a
(t)
n.I
O
.(1+1/ )
3
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
ωt
ωt
ωt
-n.I
O
. 1
3
-n.I
O
. 2
3
n.I
O
. 2
3
n.I
O
. 1
3
Conexão Y-Y
Conexão -Y
DHT = 14%
Figura 1.12 – Formas de onda das correntes de entrada do Retificador Trifásico de 12 pulsos, conexão
série.
22
Na conexão série de retificadores trifásicos os semicondutores deverão suportar
grandes esforços de tensão.
Já a conexão das saídas dos retificadores em paralelo, a mais utilizada, serve para
aplicações onde são requeridos grandes esforços de corrente [17]. Através da Figura 1.13 é
mostrado um exemplo desta estrutura.
- Y
1 : n
C
O
c
a
r
g
a
T
5
T
5
T
6
T
6
T
4
T
4
i
a2
(t)
v
b
(t)
v
c
(t)
3 : n
+30º
a
c
b
C
A
B
T
2
T
3
T
1
a
T
2
T
3
T
1
A
B
C
c
b
-
N
L
O
Transformador
de interfase
v
a
(t)
+
-
+
-
I
o
2
I
o
2
I
o
Figura 1.13 – Retificador trifásico de 12 pulsos, conexão paralela.
Nesta aplicação, na pré-existência de harmônicas na tensão de alimentação, por
exemplo, a 5ª harmônica, os transformadores defasadores alteram as fases dessas
componentes harmônicas, podendo ampliar os seus efeitos indesejáveis no sistema, como por
exemplo, o desequilíbrio da tensão CC de saída. Um desequilíbrio pré-existente nas tensões
de entrada ou um desequilíbrio de impedâncias do transformador, também leva ao
desequilíbrio da tensão CC de saída. A ocorrência destes fenômenos em conjunto ou de
forma isolada, pode causar um desequilíbrio significativo entre as correntes CC de saída das
pontes retificadoras, e reintroduzir componentes harmônicas de seis pulsos nas correntes de
linha CA. Além disso, impõe-se um esforço adicional de corrente para as pontes retificadoras.
Este problema é minimizado utilizando-se a conexão de transformadores de interfase (IPT –
Inter-Phase Transformer) para absorver as diferenças instantâneas entre as tensões das saídas
CC dos dois retificadores e para que a defasagem de 120° seja mantida na condução dos
semicondutores, conforme Figura 1.13. Os transformadores de interfase atuam com eficácia
na absorção de diferenças instantâneas de tensão, porém não conseguem absorver diferenças
médias de tensão. O projeto do transformador de interfase envolve uma certa complexidade e
não há restrição inerente à quantidade de conversores a serem conectados em paralelo e, além
disso, podem também ser aplicados para sistemas não isolados (autotransformadores) [17].
23
A tensão CC de saída do retificador de 12 pulsos possui uma freqüência fundamental
que corresponde a doze vezes a freqüência fundamental da tensão senoidal de entrada e insere
na rede de alimentação componentes harmônicas de ordens k.12±1 [8 e 17]. Considerando-se
a variável “n” como sendo o índice harmônico, onde n=k.12±1, as amplitudes das harmônicas
variam conforme 1\n. Analogamente ao retificador de 12 pulsos, um retificador de 18 pulsos
pode ser construído usando três retificadores de seis pulsos em ponte, sendo três circuitos
transformadores com defasagem de 0º, +20º e –20, resultando em uma DHT em torno de
8,0% para as correntes de entrada. Já um retificador de 24 pulsos requer o uso de quatro
retificadores trifásicos de seis pulsos, alimentados por tensões defasadas de 0º, +15º, -15º e
30º, reduzindo a DHT das correntes de entrada para 3,0% em média. Estas defasagens
podem ser obtidas através de arranjos mais complexos de transformadores, conhecidos como
ziguezague (Z), polígono (P), etc., podendo proporcionar qualquer defasagem desejada,
melhorando-se cada vez mais o fator de potência. A seguir, através da Figura 1.14, é
mostrado um retificador de 18 pulsos, cuja conexão /P--P apresenta um secundário
conectado em em fase com o primário e os outros dois, conectados em polígono, com
ângulos de +20º e –20º, em relação ao primário.
+20º
-20º
v
b
(t)
v
c
(t)
N
v
a
(t)
C
O
c
a
r
g
a
L
O
I
o
+
-
i
a
(t)
i
a1
(t)
i
a2
(t)
i
a3
(t)
Figura 1.14 – Retificador trifásico de 18 pulsos, conexão /P--P e saídas conectadas em série.
24
A técnica multipulsos, apesar da grande robustez, isolamento galvânico (entre a fonte
de alimentação e a carga) e a possibilidade do atendimento às normas reguladoras oferecida
por estas estruturas, tem a sua aplicação prejudicada devido aos seguintes fatores [6, 10 e 17]:
O transformador processa toda a potência entregue à carga na freqüência da rede de
alimentação, resultando em uma estrutura com volume e peso elevados;
A tensão de saída retificada não é regulada;
A necessidade de transformadores de interfase (IPT – Inter-Phase Transformer) para
absorver as diferenças instantâneas entre as saídas CC dos retificadores, para conexões
da saída em paralelo.
Não havendo a necessidade de isolação galvânica, constam na literatura duas técnicas
bastante difundidas que tornaram as aplicações dos conversores de múltiplos pulsos mais
atrativas, devido à redução da potência processada através dos transformadores e a
conseqüente redução de volume e peso global do retificador, são elas:
1) O conversor LIT (Line Interphase Transformer) [6, 18 e 19], é uma espécie de
autotransformador composto de três transformadores monofásicos, cuja disposição dos seus
enrolamentos resultam em dois sistemas trifásicos no secundário do autotransformador e
defasados de 30º, sendo originalmente aplicado para um retificador trifásico de 12-pulsos
[17], conforme Figura 1.15.
X
L
X
L
X
L
v
a
(t)
v
b
(t)
v
c
(t)
i
1
i
b
i
a
W
c
W
a
W
b
+
v
O
-
C
A
R
G
A
Figura 1.15 – Conversor LIT (Line Interphase Transformer).
Os indutores de entrada, conectados em série entre a fonte de alimentação e o LIT, são
projetados para eliminar harmônicas de até a 11ª ordem e, além disso, possibilitam uma
redução maior das amplitudes das harmônicas de ordens mais elevadas, o que é vantajoso,
comparando-se com o retificador trifásico de 12-pulsos convencional. Isto resulta em uma
25
DHT menor do que 6% [19]. Contudo, esta técnica apesar de simples e bastante aplicada no
meio industrial, apresenta alguns problemas que podem ser relacionados a seguir [20]:
Tensão CC de saída é não-regulada e o seu valor médio é reduzido, em torno
de 1,25 vezes o valor eficaz da tensão de fase de entrada, dificultando muitas
aplicações;
Para garantir uma DHT reduzida para as correntes de entrada, é necessário elevar
o valor das indutâncias na entrada, o que causa um acréscimo do Fator de
Deslocamento (FD), dificultando ainda mais a regulação da tensão de saída em
função da variação da carga.
Vários trabalhos foram desenvolvidos posteriormente buscando sanar os problemas
destacados acima, dentre estes são citados [20-23]. Em [21], os indutores de entrada foram
substituídos por um indutor na saída, minimizando o Fator de deslocamento e melhorando a
regulação da tensão de saída. Entretanto, tem-se a desvantagem do acréscimo da DHT para
14%. Já em [20, 22 e 23], inseriu-se entre a saída dos retificadores em paralelo e a carga, um
conversor CC boost em cascata operando no modo descontínuo e com uma freqüência elevada
e constante. Dentre as vantagens obtidas, destacam-se aqui o controle da tensão de saída e a
redução de volume dos elementos magnéticos de entrada por estarem operando na freqüência
de comutação do conversor boost.
2) Conexões diferenciais [17], são autotransformadores com os enrolamentos do
primário dispostos geralmente na forma ou Y. Os enrolamentos do secundário são
provenientes da combinação das bobinas do primário ( ou Y) com outras bobinas adicionais,
especialmente arranjadas no núcleo, resultando conversores usualmente de 12 e 18 pulsos.
Uma forma convencional de uso de autotransformador em sistemas multipulsos é a
conexão -diferencial plana de 12 pulsos [24], mostrada através da Figura 1.16.
C
O
c
a
r
g
a
i
a
(t)
v
b
(t)
v
c
(t)
v
a
(t)
+30º
a
N
a"
a'
b'
b"
c'
c"
cb
i
b
(t)
i
c
(t)
i
c
'(t)
i
b
'(t)
i
a
'(t)
i
c
"(t)
i
b
"(t)
i
a
"(t)
I
o
I
o
2
I
o
2
+
-
-
+
L
a
L
b
L
c
Figura 1.16 – Retificador trifásico de 12 pulsos, conexão -diferencial plana.
26
Esta conexão processa apenas 18% da potência total entregue à carga, caracterizando-
se uma vantagem em relação às conexões isoladas -Y que processam 100% da potência
total entregue a carga. Neste mesmo trabalho [24], os autores desenvolveram um retificador
trifásico de 18 pulsos utilizando novamente a conexão -diferencial plana processando apenas
16% da potência total de carga. A eficiência do conversor apresentado na Figura 1.16, foi
melhorada modificando-se a disposição dos enrolamentos do secundário da conexão -
diferencial [25], resultando em um retificador de 12 pulsos, cuja potência processada pelo
autotransformador em forma de polígono modificado (Figura 1.17) corresponde à 10,1% da
potência total entrega à carga, resultando em uma corrente de entrada com uma DHT de
11,3%.
C
O
c
a
r
g
a
i
a
(t)
v
b
(t)
v
c
(t)
v
a
(t)
+30º
a
N
a"
a'
b'
b"
c'
c"
c
b
i
b
(t)
i
c
(t)
i
c
'(t)
i
b
'(t)
i
a
'(t)
i
c
"(t)
i
b
"(t)
i
a
"(t)
+
-
-
+
i
O
(t)
2
i
O
(t)
2
i
O
(t)
v
O
(t)
+
-
Figura 1.17 – Retificador trifásico de 12 pulsos, conexão -diferencial (polígono).
Uma desvantagem do uso das conexões diferencias é a necessidade do emprego de
dois transformadores de interfase, sendo um conectado na polaridade positiva e o outro na
polaridade negativa do barramento CC de saída do retificador, no intuito de garantir a
operação quase independente de cada ponte retificadora. Além disso, por ser um sistema não
isolado, a tensão a ser suportada pelos transformadores de interfase torna-se mais elevada,
comparando-se com as conexões isoladas, resultando em um aumento de volume e peso [17].
Um problema adicional que afeta as estruturas multipulsos em geral é o fato de que os
transformadores de interfase nem sempre são capazes de equilibrar as tensões no lado CC de
cada retificador, mediante a um desequilíbrio de impedâncias do transformador, harmônicas
pré-existentes e desequilíbrios nas tensões de entrada. As harmônicas pré-existentes podem
ser não triplas, como 5ª, 7ª, etc., ou triplas, como 3ª, 6ª, 9ª, etc. Se o sistema trifásico for
equilibrado, as harmônicas triplas estão em fase e são denominadas “componentes de
seqüência zero”. Para eliminar ou reduzir das amplitudes as componentes harmônicas de
seqüência zero, foram desenvolvidos os transformadores bloqueadores de seqüência zero
27
(ZSBT – Zero-sequence Blocking Transformer) [17]. Os ZSBTs podem ser inseridos no lado
CA, entre os transformadores de entrada e as pontes retificadoras trifásicas, ou no lado CC,
entre as saídas das pontes retificadoras e a carga. A idéia básica da construção destes
elementos é que todos os condutores que entram (fases: “a”, “b” e “c”) ou que saem (positivo
e negativo) de cada ponte retificadora devem ser enrolados paralelamente em um núcleo e no
mesmo sentido, criando uma impedância elevada para componentes de seqüência zero. No
trabalho apresentado em [26] os autores tomaram como base o retificador de 12 pulsos
(conexão -diferencial plana), desenvolvido por [24] e mostrado na Figura 1.16, melhorando
o seu desempenho com o emprego de dois bloqueadores de seqüência zero no lado CC, sendo
um para cada ponte retificadora. Os ZSBTs contribuem também para assegurar a
independência de operação de cada ponte retificadora e garantir que as correntes de saída
para a carga sejam equivalentes. Assim, com a inserção dos dois ZSBTs, foi possível eliminar
o transformador de interfase conectado no lado negativo da carga, mantendo-se apenas um
conectado no lado positivo da carga. Foi proposto também neste trabalho [26] uma
modificação no transformador de interfase (IPT – Inter-Phase Transformer) inserindo-se um
diodo em série com cada enrolamento do núcleo (do transformador de interfase), de modo que
o cátodo de ambos os dispositivos estejam conectados no mesmo ponto (lado positivo da
carga). Além disso, a quantidade de espiras de cada enrolamento (positivo e negativo) do
transformador de interfase são variáveis, ajustados através de taps, sendo possível ajustar o
IPT para que resulte no cancelamento das harmônicas de 5ª, 7ª, 11ª, 13ª, 17ª e 19ª ordem e,
portanto, para que se obtenha uma corrente de entrada com 24 pulsos e uma DTH igual a
3,4%, sem alterações significativas no circuito, conforme mostrado na Figura 1.18.
C
O
i
a
(t)
+15º
-15º
a
N
a"
a'
b'
b"
c'
c"
cb
i
b
(t)
i
c
(t)
i
c
'(t)
i
b
'(t)
i
a
'(t)
i
c
"(t)
i
b
"(t)
i
a
"(t)
I
o
+
-
-
+
L
a
L
b
L
c
v
b
(t)
v
c
(t)
v
a
(t)
c
a
r
g
a
ZSBT
ZSBT
Transformador
de interfase
Figura 1.18 – Retificador trifásico de 24 pulsos, conexão -diferencial plana, com bloqueador de
seqüência zero.
28
Esta técnica é conhecida como “multiplicação de pulsos”, caracterizando-se uma
tendência interessante da evolução dos conversores multipulsos [7]. Foi verificado
experimentalmente para esta estrutura que o autotransformador, cada ZSBT e o IPT
processam respectivamente 23%, 3,7% e 1,65% da potência total.
Uma outra alternativa bastante aplicada para a filtragem de harmônicas em
conversores multipulsos são os Reatores Bloqueadores de Harmônicas (HBR – Harmonic
Blocking Reator), inseridos em cada fase entre o secundário do transformador e a sua
respectiva ponte retificadora. O “reator bloqueador de harmônicas” é uma evolução dos
“transformadores bloqueadores de seqüência zero”, pois consegue bloquear simultaneamente
certas harmônicas de seqüências positiva, negativa e zero [17]. A essência desta técnica é
criar uma interdependência entre as correntes de entrada por fase (defasadas de 30º) de cada
ponte retificadora, de maneira que o fluxo das componentes fundamentais de cada corrente
seja nulo e o fluxo das demais componentes harmônicas (5ª e 7ª por exemplo) resulte em uma
impedância elevada de modo que as mesmas sejam suficientemente atenuadas [27-28].
Uma estrutura interessante é o conversor de 18 pulsos constituído de uma conexão Y-
diferencial usando um autotransformador [29-30], cuja potência por ele processada é de 22%
da potência nominal da carga. Este conversor é composto por três retificadores trifásicos não
controlados conectados em paralelo e possui um Fator de Potência (FP) e DHT de 0,99 e
8,8%, respectivamente. Nesta estrutura, para evitar o uso de transformadores de interfase,
foram conectados na saída de cada retificador trifásico um conversor boost, com controle
apropriado, possibilitando equilibrar as correntes de saída de cada retificador e ainda regular
a tensão de saída.
Embora as técnicas (conexões diferencias) abordadas neste tópico resultem em
estruturas com volume e peso reduzidos e uma corrente de entrada com uma qualidade melhor
do que as estruturas de multipulsos convencionais, possibilitando o atendimento às normas
internacionais para níveis de potência mais elevados, estas estruturas não possibilitam o
controle pleno da DHT das correntes de entrada. Neste contexto, em função das limitações
das estruturas não controladas, surgem os retificadores controlados, a serem analisados a
seguir.
1.2.2 – Retificadores Trifásicos Controlados com Correção do Fator de Potência
As primeiras estruturas retificadoras controladas eram compostas de elementos
tiristores, conforme Figura 1.19, operando em baixas freqüências, porém apresentando
29
elevada robustez e confiabilidade, apesar de um leve aumento da complexidade e custos
devido ao circuito de comando de gate. A distorção harmônica das correntes de entrada
torna-se maior do que aquela dos retificadores não controlados, entretanto, pode-se regular a
tensão de saída. Devido à confiabilidade, simplicidade e eficiência, o retificador trifásico a
tiristor é comumente utilizado nos dias atuais para potência bastante elevadas. Entretanto,
quando se deseja um barramento CC de saída, a estrutura também apresentará elevados
conteúdos harmônicos nas correntes de entrada, um aumento do fator de deslocamento e
reduzido fator de potência, não atendendo às normas internacionais.
T
1
T
3
T
5
T
2
T
4
T
6
v
b
(t)
v
c
(t)
v
a
(t)
I
O
V
O
+
-
N
Figura 1.19 – Retificador trifásico a tiristor (Ponte totalmente controlada).
Portanto, as mesmas técnicas apresentadas para os retificadores não controlados, para
elevar o fator de potência da estrutura, foram aplicadas para os retificadores à tiristores [7].
Em [31], os autores propuseram uma pequena modificação no conversor de 12 pulsos,
destacado anteriormente na Figura 1.13, onde além da ponte retificadora totalmente
controlada foram inseridos pequenos indutores na entrada (lado CA) e um transformador de
interfase no lado CC, conforme estrutura mostrada na Figura 1.20.
- Y
1 : n
C
O
c
a
r
g
a
T
5
T
5
T
6
T
6
T
4
T
4
i
a2
(t)
v
b
(t)
v
c
(t)
3 : n
- 30º
a
c
b
C
A
B
T
2
T
3
T
1
a
T
2
T
3
T
1
A
B
C
c
b
-
N
L
O
v
a
(t)
+
-
+
-
I
o
2
I
o
2
I
o
L=0,5 mH
Transformador
de interfase
Figura 1.20 – Retificador trifásico de 12 pulsos a tiristor, com transformador de interfase.
30
O transformador de interfase (de menor volume e peso do que os convencionais) tem a
finalidade de tornar as correntes de saída de ambos os retificadores com um formato
triangular e operando no modo de condução crítico (MCC). Com uma defasagem de operação
adequada imposta para ambas as pontes retificadoras é obtida uma DHT de até 1,0 % nas
correntes de entrada (equivale à um retificador de 36 pulsos), mas não se consegue manter a
DHT para grandes variações de carga, uma vez que as correntes de saída deixam de operar no
modo de condução crítico. Com o surgimento dos transistores de potência, os tiristores
começaram a ser substituídos, proporcionando-se a operação dos mesmos em freqüências
mais elevadas, reduzindo-se volume, peso e custo destas estruturas. Surge então o conceito de
retificador trifásico PWM [6 e 10], a ser analisado a seguir.
1.2.2.1 – Retificadores Trifásicos PWM
As técnicas de retificação ativa são as mais promissoras do ponto de vista da qualidade
de energia, podendo-se obter fator de potência elevado e DHT reduzida. Estas estruturas são
comuns em aplicações de médias potências, mas não são viáveis em aplicações de correntes
elevadas devido ao custo efetivo dos componentes eletrônicos utilizados. Em aplicações onde
o peso e volume são fatores decisivos, estruturas com correção ativa do fator de potência são
empregadas, mas a complexidade e custos obtidos são significantemente aumentados. O
desenvolvimento de estratégias de comutação suave e o projeto de uma disposição ótima dos
componentes são os desafios, preponderantes, para que estas topologias sejam aplicadas em
potências elevadas. Na Figura 1.21 é mostrado um retificador trifásico PWM tipo Boost,
destinado a suprir cargas do tipo inversor VSI, onde o retificador trifásico PWM pode impor,
sob determinadas ações de controle, reduzidas distorções harmônicas para as correntes de
entrada e elevado fator de potência para a estrutura [5-6].
C
O
R
O
v
b
(t)
v
c
(t)
v
a
(t)
L
a
L
b
L
c
S
3
S
4
S
5
S
6
S
1
S
2
N
Figura 1.21 – Retificador trifásico PWM, operando quatro quadrantes.
31
As técnicas de modelagem e controle aplicadas aos retificadores trifásicos PWM estão
divididas em linear e não-linear. Dentre as técnicas lineares, as mais populares são aquelas
cujo controle está baseada nas correntes reais de entrada, e aquelas onde o controle é baseado
na Transformação de Park. Quanto às técnicas de controle não-lineares, destacam-se:
Controle por Histerese, Controle por Modo Deslizante, Controle por Redes Neurais, Lógica
Fuzzy, etc.
As técnicas de controle lineares, citadas anteriormente, foram exploradas em um
retificador trifásico PWM (cuja estrutura é a mesma apresentada na Figura 1.21, entretanto
utilizando-se MOSFETs) de três maneiras distintas [32], comentadas a seguir:
1) Controle das correntes reais de entrada (Controle por Valores Médios): É um
controle clássico bastante utilizado em retificadores monofásicos, onde o
controlador atua diretamente sobre as corrente senoidais de entrada e pode ser
implementado tanto na forma analógica como digital;
2) Controle das correntes d-q baseado na Transformação de Park: Nesta técnica, ao
invés de atuar diretamente sobre as variáveis senoidais de entrada, o controlador
atua sobre as variáveis contínuas i
d
e i
q
obtidas da Transformação de Park,
simplificando o controle, o qual deverá ser implementado preferencialmente na
forma digital;
3) Controle de correntes sem sensores (Sensorless) baseado na Transformação de
Park: É considerada uma nova técnica de controle para retificadores PWM, na
qual as correntes de entrada não são monitoradas. As variáveis i
d
e i
q
são geradas
através de modelagem, tornando o controle simples e de custo reduzido, sendo
implementado também de forma digital.
Uma das estratégias mais preferidas no emprego da modulação PWM destas estruturas
é a modulação Space-Vector. O seu princípio básico de funcionamento consiste em
determinar uma seqüência de vetores que resulte em uma corrente senoidal na entrada. Cada
vetor representa um estado topológico da estrutura, sendo que cada estado topológico é
resultante de uma combinação específica dos estados (ON, OFF) de cada interruptor
controlado [33-34]. Utilizando-se da Transformação de Park, citada em [32], os mesmos
autores apresentaram em [34], de uma forma bastante didática, o emprego da modulação
Space-Vector PWM em um retificador trifásico bidirecional. Em [35], a modulação Space-
Vector PWM também foi aplicada no controle de um retificador trifásico unidirecional com
três interruptores ativos, conforme Figura 1.22. Este retificador, além de alimentar uma
determinada carga, opera simultaneamente como um filtro ativo paralelo, especifico para
32
eliminar as harmônicas geradas por um retificador convencional de seis pulsos. Entretanto, é
necessária condição especial de operação (Corrente de carga deverá ter um valor mínimo
especificado em projeto), tendo em vista a característica de fluxo unidirecional de corrente
desta estrutura.
C
dc
v
a
(t)
L
S
1
v
b
(t)
v
c
(t)
L
L
C
C
C
c
a
r
g
a
D
O
L
dc
i
conA
i
recA
i
dc
S
2
S
3
Figura 1.22 – Retificador trifásico PWM, unidirecional em corrente.
As estruturas unidirecionais em corrente são caracterizadas por empregar uma
quantidade menor de interruptores controlados e uma quantidade maior de interruptores não-
controlados. Em contrapartida, as estruturas bidirecionais em corrente empregam geralmente
uma quantidade maior de interruptores controlados e uma quantidade menor de interruptores
não-controlados, entretanto, possuem uma flexibilidade maior na correção do Fator de
Potência.
Com o objetivo de se reduzir os custos dos retificadores trifásicos PWM,
principalmente para as aplicações unidirecionais, retificadores trifásicos não controlados, a
diodos, são freqüentemente cascateados com conversores reguladores CC-CC PWM,
compondo um retificador controlado, conforme discussão do tópico seguinte.
1.2.2.2 - Retificadores Trifásicos Não-Controlados Associados em Cascata com
Conversores CC -CC
Esta técnica resulta em menor peso e volume dos elementos magnéticos, devido sua
freqüência elevada de operação. Com os avanços tecnológicos dos interruptores, em particular
os IGBTs (Insulated gate bipolar transistor), foi possível estender o uso destas topologias em
aplicações industriais. Na Figura 1.23 tem-se um retificador trifásico a diodos acoplado a um
conversor CC-CC PWM boost, operando no modo de condução contínua, com filtro indutivo
e capacitivo na entrada [6 e 36].
33
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
b
C
c
S
p
D
p
L
a2
V
b
(t)
V
c
(t)
V
a
(t)
L
a1
L
b2
L
b1
L
c2
L
c1
C
b
C
a
Boost
Figura 1.23 – Retificador não controlado associado a conversor CC-CC PWM Boost.
Obviamente, existe também a possibilidade da operação do conversor CC-CC PWM
boost no modo de condução descontínua, porém, este modo de operação se limita para
aplicações não industriais, devido principalmente aos picos de corrente, aumentando-se os
esforços nos interruptores, e ao surgimento de interferência eletromagnética (IEM) associada
aos níveis elevados de di/dt . A grande vantagem dessa estrutura, operando em condução
contínua, é a DHT reduzida para as correntes de entrada e o fator de potência elevado,
atendendo-se plenamente as normas internacionais e, além disso, possibilita regular a tensão
CC de saída. Observa-se adicionalmente que os filtros indutivos de entrada (L
a2,
L
b2
e
L
c2
)
poderão ser deslocados para o lado CC, reduzindo-se o volume destes elementos magnéticos e
os custos da estrutura, conforme Figura 1.24, sendo possível a operação do conversor Boost
no modo de condução contínua, e, o controle da corrente de entrada, impondo-se Distorção
Harmônica Total reduzida e fator de potência elevado [6 e 36].
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
C
c
S
p
D
p
L
in
V
b
(t)
V
c
(t)
V
a
(t)
L
a1
L
b1
L
c1
C
b
C
a
Boost
Figura 1.24 – Retificador não controlado associado a conversor CC-CC PWM Boost, filtro no lado
CC.
34
Entretanto, uma vez que a potência processada pelo retificador não controlado é
também processada pelo conversor boost, a eficiência da estrutura é comprometida,
principalmente para aplicações em potências elevadas (industriais). Portanto, em função da
redução da eficiência e considerando-se que a confiabilidade da estrutura também se reduz,
para aplicações industriais é proposto o conceito de retificadores trifásicos híbridos.
1.2.3 – Retificadores Trifásicos Híbridos
Os retificadores híbridos são constituídos de um retificador não controlado e um
retificador controlado PWM, conforme exemplo na Figura 1.25. O retificador não-controlado
opera em baixa freqüência e conduz a maior parte da potência ativa entregue para a carga.
Enquanto isso, o retificador controlado PWM processa uma pequena parte da potência,
operando em freqüência elevada. O grande atrativo desta estrutura é a combinação da
robustez e eficiência do retificador não controlado, com a imposição de uma corrente de
entrada com uma reduzida DHT, através do controle adequado do retificador controlado
PWM. Observa-se que o retificador híbrido não pode ser classificado como um filtro ativo,
pelo fato do retificador PWM ativo processar uma pequena parte da potência ativa total e
nunca processar apenas potência reativa.
D
1
D
3
D
5
D
2
D
4
D
6
V
b
(t)
V
c
(t)
C
O
R
O
V
a
(t)
N
L
O
Retificador Trifásico
PWM
Retificador não
controlado
Figura 1.25 – Retificador trifásico híbrido.
O grande desafio em compor um retificador híbrido é obter uma estrutura capaz de
garantir simultaneamente as seguintes características:
Fator de potência elevado;
35
DHT reduzida das correntes de entrada;
Regulação da tensão de saída;
Confiabilidade elevada (robustez);
Rendimento elevado;
Simplicidade de operação e controle;
Níveis reduzidos de interferência eletromagnética.
A unidirecionalidade ou bidirecionalidade em corrente (característica importante em
um retificador trifásico híbrido com correção do Fator de Potência) depende exclusivamente
do retificador trifásico controlado, ou seja, se este é unidirecional ou bidirecional em corrente
(Comentado anteriormente no tópico 1.2.2.1). Em [10], foi desenvolvido um retificador
trifásico híbrido unidirecional em corrente, composto de um retificador de 6-pulsos
convencional com um conversor boost em cascata para o controle da tensão de saída, e um
retificador trifásico PWM unidirecional conectado em paralelo para impor uma corrente de
entrada com formato senoidal. Para isso, cada uma das estruturas (controlada e não-
controlada) processa 50% da potência total entregue à carga. Posteriormente, em uma outra
aplicação desta mesma estrutura [37], os autores consideraram a hipótese do retificador
controlado processar apenas 33% da potência total entrega à carga. Neste caso, devido o
retificador controlado ser unidirecional em corrente, a forma de onda da corrente na entrada
deixa de ser senoidal (DHT>0), mas com possibilidade de atender às normas reguladoras IEC,
tornando-se uma forma de operação vantajosa e viável. Já para os retificadores híbridos
bidirecionais, as correntes de entrada serão sempre senoidais. O que é interessante analisar
neste caso é a flexibilidade de se impor os valores de potência aparente processada e de
potência média entregue à carga pela estrutura controlada, mantendo uma DHT quase nula.
Como exemplo, foi proposto em [38] um retificador híbrido bidirecional, apresentado na
Figura 1.26, cujo ponto de operação escolhido resultou para o retificador controlado uma
potência aparente com um valor de 30% da potência aparente total processada e uma potência
média com um valor de 10% da potência média total entrega à carga. No entanto, a
complexidade no controle tende a aumentar devido à quantidade maior de interruptores
controlados.
36
C
O
v
a
(t)
Lf
1
S
1
v
b
(t)
v
c
(t)
S
b
S
2
S
3
S
4
S
5
S
6
Lf
2
Lf
3
Lb
1
Lb
2
i
1
(t)
i
2
(t)
i
3
(t)
Dr
1
Dr
2
Dr
3
Dr
4
Dr
5
Dr
6
D
4
D
5
D
6
D
1
D
2
D
3
i
1b
(t)
i
2b
(t)
i
3b
(t)
i
Oa
(t)
i
Ob
(t)
D
b2
D
b1
i
O
(t)
c
a
r
g
a
Figura 1.26 – Retificador trifásico híbrido bidirecional em corrente com conversor boost na saída.
Os dois trabalhos a serem abordados na seqüência, apesar de não se enquadrarem
totalmente dentro das definições de retificadores híbridos mencionadas anteriormente, são
conversores híbridos e sinalizam uma tendência importante que é a associação de estruturas
diversas (como por exemplo: Retificadores controlados, inversores, filtros ativos, etc.) com o
intuito de melhorar o desempenho da estrutura como um todo.
O retificador trifásico híbrido a ser discutido na seqüência [39], mostrado na Figura
1.27, possui uma aplicação interessante que é a supressão de IEM (Interferência
Eletromagnética). É constituído de dois retificadores trifásicos controlados conectados em
paralelo, sendo um denominado “Retificador Principal” e o outro “Retificador Auxiliar”. O
retificador principal processa toda a potência ativa entregue à carga e opera com uma
freqüência de comutação constante (PWM) de 1,2kHz. Com isso, pode-se corrigir o fator de
Retificador principal
(maior potência, menor frequência)
Rede de alimentação
CA trifásica
3,41 mH
C
O
R
O
Retificador auxiliar
(menor potência, frequência elevada)
1,0 mH
1,2 kHz
Figura 1.27 – Retificador trifásico PWM, para redução de interferência eletromagnética.
37
potência na entrada e ainda controlar a tensão de saída para potências mais elevadas sem
grandes variações (di/dt) de corrente.
O retificador auxiliar por sua vez processa uma potência muito menor do que aquela
processada pelo retificador principal e utiliza a modulação por histerese com uma freqüência
de comutação bastante elevada. Deste modo, minimiza-se o ripple de baixa freqüência
(1,2kHz), resultando em um retificador trifásico híbrido PWM, com correntes de entrada
praticamente senoidais, com uma freqüência de comutação de 10 kHz e níveis reduzidos de
IEM.
No trabalho analisado em [40] foi proposto um inversor trifásico híbrido composto por
um inversor trifásico de corrente (
CSI – Current Source Inverter) e um inversor trifásico de
tensão (
VSI – Voltage Source Inverter), com as saídas de ambos conectadas em paralelo com
a carga (motor de indução trifásico), conforme mostrado na Figura 1.28.
O inversor trifásico de corrente (alimentado por um retificador controlado com saída
em corrente) é denominado “inversor principal” e processa a maior parte da potência ativa
entregue a carga, sendo destacadas a seguir algumas características desta estrutura.
Possibilita a transferência bidirecional da potência elétrica;
Responde rapidamente ao comando de mudança de fase da corrente de saída;
O circuito de potência é mais simples e robusto do que o VSI, devido a ausência do
diodo de roda livre e a proteção natural de sobrecorrente inerente da indutância
elevada na saída do retificador (com malha de controle de corrente). Entretanto, o
valor elevado desta indutância resulta para o inversor
CSI em uma resposta lenta ao
comando de mudança de amplitude da sua corrente de saída;
A forma de onda da corrente de saída é quadrada (possui o mesmo formato da corrente
de entrada de um retificador convencional de 6 pulsos – Figura 1.10) e com a mesma
freqüência da componente fundamental da corrente entregue à carga, portanto com
níveis reduzidos de IEM e de perdas de comutação. Em contrapartida, possui uma
DHT de 30%, predominando componentes harmônicas de ordens menores.
O inversor trifásico de tensão (V
SI) ocupa a função de “inversor auxiliar” e processa a
menor parte da potência ativa entregue a carga (ou somente potência reativa), sendo listadas a
seguir algumas de suas características de funcionamento:
Possibilita uma variação ampla da amplitude e freqüência da tensão de saída;
Responde rapidamente ao comando de mudança de fase e de amplitude da tensão de
saída;
38
No entanto, esta estrutura é penalizada devido às perdas elevadas de comutação e
IEM, que são problemas inerentes da operação em freqüências elevadas.
A técnica de controle aplicada para o inversor trifásico híbrido é linear (utiliza-se as
componentes d-q obtidas da transformação de Park) e a modulação empregada é o
Space-
Vector. Portanto, dentre as vantagens do inversor trifásico híbrido em relação aos inversores
trifásicos
CSI e VSI operando individualmente destacam-se as seguintes:
A mudança rápida de amplitude da corrente de saída, proporcionada pelo inversor VSI;
Redução das perdas de comutação e de IEM, uma vez que o inversor CSI processa a
maior parte da potência ativa entregue à carga;
Redução das harmônicas de ordens menores nas correntes de saída realizada pelo
inversor
VSI, resultando em correntes com o formato praticamente senoidal.
Retificador
controlado
L
M
Inversor
principal
Inversor auxiliar
+
-
Figura 1.28 – Retificador trifásico PWM, para redução de interferência eletromagnética.
Nas três estruturas subseqüentes [41-43], o retificador controlado PWM é
unidirecional em corrente é constituído de três retificadores monofásicos SEPIC e Boost. Tais
retificadores híbridos são capazes de prover fator de potência elevado e distorção harmônica
reduzida nas correntes de entrada (DHT). Estas estruturas são compostas por um retificador
trifásico convencional de seis pulsos (Ponte de Graetz), com filtro indutivo na saída, com a
conexão paralela de retificadores monofásicos SEPIC [41] e Boost [42-43], em cada fase do
retificador, conforme Figuras 1.29 e 1.30. Estas topologias resultam em estruturas capazes de
programar a forma de onda da corrente de entrada, proporcionando condições para a obtenção
de um fator de potência elevado e uma Distorção Harmônica Total reduzida nas correntes de
entrada do retificador, tendo sido denominados “Retificadores Trifásicos Híbridos com
39
correção do fator de potência (Three-Phase PFC-HPR – Three-Phase Power-Factor-
Correction Hybrid Power Rectifier )”.
As estruturas das Figuras 1.29 e 1.30 foram desenvolvidas com a finalidade de se
obter uma corrente de entrada de qualidade elevada sem o uso de transformadores
defasadores em grupos de retificadores, os quais apesar da robustez da estrutura, tornam todo
o conjunto volumoso, pesado e de custo elevado, além de outras desvantagens já mencionadas
anteriormente, tais como: A necessidade de se utilizar transformadores de interfase, com
complexidade de projeto, e filtros para compensar componentes harmônicas de tensão pré-
existentes em sistemas desbalanceados [17].
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
O1
L
O2
D
7
D
8
D
9
L
1
L
2
S
1
C
2
C
1
D
10
L
3
D
11
D
13
D
14
D
15
D
16
D
17
L
4
L
5
S
2
C
4
C
3
D
18
L
6
D
11
D
19
D
20
D
21
D
22
D
23
L
7
L
8
S
3
C
6
C
5
D
24
L
9
D
25
D
26
D
27
V
b
(t)
V
c
(t)
V
a
(t)
N
i
a
(t)
i
b
(t)
i
c
(t)
i
c1
(t)
i
b1
(t)
i
a1
(t)
i
c2
(t)
i
b2
(t)
i
a2
(t)
i
1
(t)
i
2
(t)
i
3
(t)
i
Retif-1
(t)
i
Retif-2
(t)
v
O
(t)
Retif-1
Retif-2
i
O
(t)
i
O
(t)=i
Retif-1
(t)+i
Retif-2
(t)
Figura 1.29 – Retificador Híbrido Trifásico com correção do fator de potência (PFC-HPR), com
retificadores controlados SEPIC.
40
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
O
V
b
(t)
V
c
(t)
V
a
(t)
N
i
a
(t)
i
b
(t)
i
c
(t)
i
c1
(t)
i
b1
(t)
i
a1
(t)
i
c2
(t)
i
b2
(t)
i
a2
(t)
i
Retif-1
(t)
i
Retif-2
(t)
v
O
(t)
Retif-1
Retif-2
i
O
(t)
i
O
(t)=i
Retif-1
(t)+i
Retif-2
(t)
D
11
i
1
(t)
D
7
D
8
D
9
L
1
S
1
D
10
1 : 1
D
12
i
2
(t)
D
13
D
14
D
15
L
2
S
2
D
16
1 : 1
D
13
i
3
(t)
D
17
D
18
D
19
L
3
S
3
D
20
1 : 1
Figura 1.30 – Retificador Híbrido Trifásico com correção do fator de potência (PFC-HPR),
com retificadores controlados Boost.
A lógica de controle dos Retificadores Híbridos Trifásicos (PFC-HPR) é apresentada
em [41-42], os quais operam com pulsos PWM e processam uma pequena fração da potência
total. Cada retificador monofásico (SEPIC ou Boost) é sincronizado com sua respectiva fase
e opera de maneira independente dos demais. Em uma análise global, estes conversores
comportam-se como uma fonte de corrente controlada utilizando uma estratégia bastante
simples. Impõe-se uma corrente de referência para os conversores SEPIC, ou Boost, de
maneira que as correntes drenadas por estes conversores, quando somadas com as correntes
drenadas pelo retificador de seis pulsos convencional, resultem em uma corrente mais
próxima da senoidal em cada fase da rede de alimentação, e, conseqüentemente reduzindo a
sua DHT e elevando o fator de potência. Desse modo, manipulando-se o formato da corrente
de referência de cada SEPIC, ou Boost, pode-se obter uma corrente de entrada com 12, 18, 24
41
pulsos ou mais, inclusive com a possibilidade de se obter fator de potência unitário. No intuito
de se comprovar a eficiência dessa estrutura, os autores implementaram um protótipo do
retificador híbrido, operando como um retificador trifásico de 12 pulsos, para uma potência
de 3,0 kW, em [41] e 6,0 kW em [42]. Recentemente, a mesma estrutura explorada em [42]
(Figura 1.32) foi implementada para uma potência de 2,8 kW, impondo-se uma forma de onda
senoidal na entrada através de controle digital e com o uso de microcontrolador, sendo os seus
resultados experimentais preliminares já publicados [43].
1.3 - Motivação e Proposta para o Trabalho
Considerando o retificador proposto da Figura 1.29, operando com uma corrente de
entrada de “q.6±1” pulsos, dependendo do valor do índice “q” e do valor da potência
nominal de saída processada, o conteúdo harmônico ainda existente nas correntes de entrada
(por exemplo, i
a
(ω.t)), para algumas ordens harmônicas, pode não obedecer os limites
estabelecidos pelas normas, como por exemplo a IEC61000-3-2 e IEC61000-3-4. Além
disso, a técnica de controle analógico proposta pelos autores [41] resulta em circuitos
analógicos envolvendo uma certa complexidade, dificultando a imposição de uma corrente de
entrada senoidal e impedindo a desejada programação da DHT para as correntes de entrada.
Neste contexto, objetivando atender plenamente os limites estabelecidos pelas normas
internacionais IEC/IEEE, para a corrente de linha de entrada, propõe-se neste trabalho de tese,
uma técnica de controle digital modificada para o circuito apresentado na Figura 1.29
(Retificadores monofásicos SEPIC), oferecendo uma maior flexibilidade na implementação,
que impõe a corrente de entrada dos conversores controlados (por exemplo, i
a2
(ω.t)),
resultando em um fator de potência quase unitário e reduzida potência ativa processada pelo
retificador controlado, permitindo ainda ampla programação da desejada DHT das correntes
drenadas da rede de alimentação em corrente alternada. Considerando a independência de
operação de cada retificador monofásico SEPIC, analisou-se três técnicas de controle clássico
aplicadas na correção ativa do Fator de Potência em estruturas monofásicas operando no
modo de condução contínua [44], sendo uma delas posteriormente escolhida para compor a
técnica de controle digital proposta.
1.3.1 - Controle por Corrente de Pico
O esquema básico do controle por corrente de pico é mostrado na Figura 1.31,
utilizando o conversor boost como exemplo. O interruptor controlado é comandado para a
42
condução através de um sinal de “clock” operando em freqüência constante, e é comandado
para o bloqueio através de um sinal “reset” sempre que a soma da rampa externa com a
corrente através do interruptor controlado atingir o valor da corrente de referência.
L
+
1
K
v
i
(t)
D
1
i(t)
D
2
D
3
D
4
+
v
g
-
D
S
C
L
R
L
+
v
L
-
+
-
Rampa
externa
Q
RS
Clock
+
-
V
ref
z=x.y
x
y
Referência
senoidal
Multiplicador
Regulador de tensão
I
L
Figura 1.31 – Esquema do controle por corrente de pico aplicado ao conversor boost.
Algumas vantagens e desvantagens desta técnica estão destacadas a seguir:
Vantagens:
- Freqüência de comutação constante;
- Não necessita de compensador de corrente;
- Constitui-se um verdadeiro limitador de corrente para o interruptor.
Desvantagens:
- Presença de oscilações sub-harmônicas na corrente monitorada para razão cíclica
maior do que 50%, sendo necessária uma rampa de compensação;
- Acréscimo da distorção harmônica na corrente de entrada para uma tensão de
entrada mais elevada e/ou carga reduzida, sendo este problema piorado na
presença da rampa de compensação;
- O controle é mais susceptível aos ruídos de comutação.
43
1.3.2 - Controle por Corrente Média
Nesta técnica de controle, mostrada na Figura 1.32, a corrente de entrada é monitorada
e posteriormente filtrada pelo compensador de corrente para finalmente ser comparada com o
sinal dente de serra, gerando os pulsos PWM para comandar o interruptor principal. Além
disso, o compensador de corrente tende a reduzir o erro entre a corrente média de entrada e a
sua referência gerada pela lógica de multiplicação.
L
1
K
v
i
(t)
D
1
i(t)
D
2
D
3
D
4
+
v
g
-
D
S
C
L
R
L
+
v
L
-
Modulador
PWM
+
-
V
ref
z=x.y
x
y
Referência
senoidal
Multiplicador
Regulador de tensão
Regulador de
corrente
R
S
I
L
Figura 1.32 – Esquema do controle por corrente média aplicado ao conversor boost.
Na seqüência, são discutidas algumas vantagens e desvantagens desta técnica.
Vantagens:
- Freqüência de comutação constante;
- Não necessita de rampa de compensação;
- O controle é menos susceptível aos ruídos de comutação, devido à filtragem da
corrente de entrada;
- A forma de onda da corrente de entrada possui uma melhor qualidade do que
aquela verificada para o controle por corrente de pico, tendo em vista que perto do
cruzamento por zero da tensão de entrada, a razão cíclica se aproxima do valor
unitário minimizando o tempo morto da corrente de entrada.
Desvantagens:
- A necessidade de um compensador de corrente.
44
1.3.3 - Controle por Histerese variável (Modulação por Limites de Corrente –
MLC)
No controle por histerese, são estabelecidos os limites máximo e mínimo da corrente,
fazendo-se a comutação do interruptor controlado em função de tais limites extremos,
conforme exemplificado através da Figura 1.33.
L
1
K
v
i
(t)
D
1
i(t)
D
2
D
3
D
4
+
v
g
-
D
S
C
L
R
L
+
v
L
-
+
-
V
ref
z=x.y
x
y
Referência
senoidal
Multiplicador
Regulador de tensão
Q
I
L
I
p,ref
I
V,ref
R
S
FF
Figura 1.33 – Esquema do controle por histerese variável aplicado ao conversor boost.
Nesta técnica de controle, o interruptor é comandado para a condução quando a
corrente através do indutor atinge um valor menor do que o limite (referência) inferior, e por
outro lado, é comandado para o bloqueio quando a corrente através do indutor se torna maior
do que o limite (referência) superior. Assim, o valor instantâneo da corrente, em regime, é
mantido dentro dos limites estabelecidos e o conversor comporta-se como uma fonte de
corrente. Tanto a freqüência quanto à largura de pulso (razão cíclica) são variáveis,
dependendo dos parâmetros do circuito e dos limites impostos (Largura da banda de
histerese).
A seguir, são comentadas as principais vantagens e desvantagens desta técnica.
Vantagens:
- Não necessita de compensador de corrente;
- Não necessita de rampa de compensação;
45
- A forma de onda da corrente de entrada possui uma distorção reduzida, em
relação ao sinal de referência;
- Proporciona uma resposta dinâmica melhor do que as técnicas “Controle por
Corrente de Pico” e “Controle por Corrente Média”, devido aos atrasos intrínsecos
da modulação PWM e tempo de resposta do compensador de corrente.
Desvantagens:
- Freqüência de comutação variável;
- O controle é mais susceptível aos ruídos de comutação.
Dentre as três técnicas analisadas, optou-se pelo “Controle por Histerese”,
considerando-se além de suas vantagens, a simplicidade e facilidade de implementação.
Quanto às desvantagens destacadas, existem técnicas utilizadas em controle digital que
possibilitam minimizar a variação da freqüência de comutação e a susceptibilidade do
controle aos ruídos de comutação [45-46].
Finalmente, para a implementação da técnica de controle digital proposta, aplicando-
se a modulação por histerese, utilizar-se-á um dispositivo programável FPGA e linguagem
VHDL, devido suas características de flexibilidade e de processamento concorrente,
possibilitando executar todos os procedimentos de controle de forma simultânea.
Neste sentido, o objetivo principal deste trabalho é a implementação digital da lógica
de controle do Retificador Híbrido Trifásico com Correção do Fator de Potência (PCF-HPR),
apresentado em [41], através do uso da Linguagem de descrição de Hardware VHDL
(Hardware Description Language), e a apresentação dos resultados experimentais.
Neste contexto, a apresentação deste trabalho segue a seguinte estrutura:
1) No capítulo 1, é apresentada uma revisão bibliográfica básica, no intuito de situar o
trabalho no contexto geral;
2) No capítulo 2, é apresentado com um pouco mais de detalhe o Retificador Trifásico
Híbrido com Correção do Fator de Potência (PCF-HPR) com retificadores controlados
SEPIC, através da análise quantitativa, relacionando a DHT imposta para as correntes de
entrada com as potências aparente e média processadas pelo retificador controlado, não-
controlado e híbrido;
3) No capítulo 3, são apresentadas as análises qualitativa e quantitativa do retificador
monofásico SEPIC que compõe o retificador trifásico híbrido, considerando a técnica de
modulação por histerese aplicada;
4) No capítulo 4, é apresentada a metodologia de projeto para o Retificador Híbrido
Trifásico com Correção do Fator de Potência;
46
5) No capítulo 5, são apresentados em detalhes os circuitos, de ataque,
condicionamento e aquisição de sinais e o funcionamento do código VHDL desenvolvido
para a implementação do controle digital em FPGA;
6) No capítulo 6, são apresentados os resultados experimentais e análises.
7) No capítulo 7, são apresentadas as conclusões finais e as propostas de continuidade
da pesquisa.
47
CAPÍTULO 2
2 - Análise Quantitativa do Retificador Trifásico Híbrido com
Correção do Fator de Potência (RTH)
2.1 - Introdução
Neste capítulo apresenta-se a análise quantitativa do Retificador Híbrido Trifásico
com correção do Fator de Potência (RTH). O objetivo desta análise é conhecer o valor eficaz
das correntes de entrada e o valor médio da tensão e das correntes de saída, bem como as
potências média e aparente processadas através de cada estrutura retificadora, controlada e
não-controlada e, conseqüentemente, o Fator de Potência (FP), mediante uma DHT (Distorção
Harmônica Total) imposta para as correntes de entrada através de uma lógica de controle
apropriada para o retificador controlado, considerando-se o circuito apresentado na Figura
2.1.
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
O1
L
O2
N
Retif-1
Conversor
CC-CC
Conversor
CC-CC
Conversor
CC-CC
N
Retif-2
()
ω.tv
a
()
ω.ti
a
(
)
ω.ti
a1
(
)
ω.ti
b1
()
ω.tv
b
()
ω.tv
c
()
ω.ti
c
()
ω.ti
b
()
ω.ti
c2
(
)
ω.ti
c1
(
)
ω.ti
a2
(
)
ω.ti
b2
(
)
ω.ti
c2
()
ω.ti
b2
(
)
ω.ti
a2
()
ω.tv
O
()
ω.ti
O
(
)
ω.ti
1-Retif
()
ω.ti
2-Retif
(
)
ω.tv
a
(
)
ω.tv
b
(
)
ω.tv
c
()
ω.ti
m1
()
ω.ti
m1
()
ω.ti
m2
()
ω.ti
m2
()
ω.ti
m3
()
ω.ti
m3
Figura 2.1 – Retificador Trifásico Híbrido com correção do fator de potência (RTH).
48
2.2 – Descrição da Análise Quantitativa
De acordo com a Figura 2.1, observa-se que a análise é genérica, válida para qualquer
conversor CC-CC monofásico especificado para a composição do retificador controlado
(Retif-2), incluindo os conversores SEPIC ou Boost, já discutidos no Capítulo 1 [41-43].
Entretanto, observa-se que para aplicação dos conversores retificadores Boost, adequada
adaptação entre os valores de projeto da tensão de entrada e da tensão média na carga deverá
existir (Por exemplo, como no caso de transformadores associados aos retificadores Boost).
Portanto as equações que descrevem o funcionamento do conversor SEPIC não serão
discutidas nesta análise. Considera-se inicialmente a inexistência do retificador 2, o retificador
convencional de seis pulsos, cujas tensões e correntes em cada fase, na entrada, possuem as
seguintes formas de onda teóricas, defasadas de 120º, conforme Figura 2.2.
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
t
t
t
I
Retif-1
= I
O
I
Retif-1
= I
O
I
Retif-1
= I
O
(
)
ω.tv
b
(
)
ω.tv
a
(
)
ω.tv
a
(
)
ω.ti
a
(
)
ω.ti
b
(
)
ω.ti
c
Figura 2.2 – Formas de onda de tensão e corrente na entrada do Retificador Híbrido (RTH) operando
como um retificador convencional de seis pulsos (Retificador 2 inoperante).
49
Conforme as formas de onda do retificador convencional de seis pulsos, (Figura 2.2), a
corrente média (I
Retif-1
) de saída do Retif-1 é igual à corrente média total (I
O
) na carga,
(I
Retif-1
=I
O
). No entanto, para compor uma corrente de entrada senoidal, cada retificador
monofásico SEPIC, pertencente ao Retif-2, deverá conduzir uma parcela da corrente de
entrada, de sua respectiva fase, diretamente para a carga e de maneira apropriada. Como
exemplo, através da Figura 2.3 visualiza-se a corrente de entrada i
a
(ω.t) da fase “a” dissociada
em duas parcelas i
a1
(ω.t) e i
a2
(ω.t), representando o funcionamento do circuito mostrado na
Figura 2.1. Desta maneira, a corrente média na carga (I
O
) passa a ser composta pela soma das
correntes médias de saída de cada retificador, ou seja: I
O
=I
Retif-1
+I
Retif-2
.
50
t
t
t
t
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
t
t
I
Retif-1
I
Retif-1
t
t
I
Retif-1
t
(
)
ω.ti
a
(
)
ω.ti
b
()
ω.ti
c1
(
)
ω.ti
c2
(
)
ω.ti
c
(
)
ω.ti
b1
()
ω.ti
b2
()
ω.ti
a2
()
ω.ti
a1
Figura 2.3 – Formas de onda das correntes na entrada do Retificador Híbrido (RTH), impostas como
sendo senoidais através do controle adequado do Retif-2.
51
Para facilitar a compreensão da análise, o circuito do Retificador Trifásico Híbrido
com correção do Fator de Potência (RTH) é mostrado novamente, através da Figura 2.4,
entretanto, relacionando os valores médios e eficazes das grandezas envolvidas.
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
O1
L
O2
N
Retif-1
Conversor
SEPIC
Conversor
SEPIC
Conversor
SEPIC
N
Retif-2
V
a
ef
V
O
I
O
I
a
ef
V
b
ef
V
c
ef
I
b
ef
I
c
ef
I
a1
ef
I
b1
ef
I
c1
ef
I
a2
ef
I
b2
ef
I
c2
ef
I
m3
I
m2
I
m1
I
Retif-2
I
Retif-1
V
a
ef
V
b
ef
V
c
ef
Figura 2.4 – Retificador Híbrido Trifásico com Correção do Fator de Potência (RTH).
Onde:
V
aef
, V
bef
e V
cef
: Valor eficaz da tensão de entrada, nas fases “a”, “b” e “c”;
I
aef
, I
bef
e I
cef
: Valor eficaz da corrente de entrada, nas fases “a”, “b” e “c”;
I
a1ef
, I
b1ef
e I
c1ef
: Valor eficaz da corrente de entrada do retificador não-controlado, nas
fases “a”, “b” e “c”;
I
a2ef
, I
b2ef
e I
c2ef
: Valor eficaz da corrente de entrada do retificador controlado, nas fases
“a”, “b” e “c”;
52
I
m1
, I
m2
e I
m3
: Valor médio da corrente de saída do retificador controlado, nas fases
“a”, “b” e “c”;
I
Retif-1
: Valor médio da corrente de saída do retificador não controlado (Retif-1);
I
Retif-2
: Valor médio da corrente de saída do retificador controlado (Retif-2);
I
O
: Valor médio da corrente de saída através da carga;
V
O
: Valor médio da tensão de saída sobre a carga;
P: Valor médio da potência entregue à carga (Potência ativa);
P
in
: Valor médio da potência requerida da fonte de alimentação;
S: Valor da potência aparente requerida da fonte de alimentação;
η: Rendimento do retificador trifásico híbrido.
Considerando-se um rendimento de valor “η” para o retificador trifásico híbrido,
obtém-se uma relação entre as potências de entrada (P
in
) e saída (P), dada através da equação
(2.1):
η
P
P
in
=
(2.1)
Sendo que:
()() ()() ()()
[]
()
++=
T
0
ccbbaa
in
t.ωd.t.ω.it.ωvt.ω.it.ωvt.ω.it.ωv.
T
1
P
(2.2)
O
2
O
OO
R
V
I.VP ==
(2.3)
Onde:
T : Período da rede em segundos;
R
O
: Valor da resistência na carga;
ω : Freqüência angular das tensões de entrada, em rd/s.
A potência aparente de entrada é dada pela equação (2.4):
efef
efef
efef cc
bb
aa
I.VI.VI.VS
+
+
=
(2.4)
Para simplificar a análise, será considerado que as tensões de alimentação possuem um
formato senoidal e estão equilibradas. Portanto:
53
ef
ef
efef c
b
a
VVVV
=
=
=
(2.5)
ef
ef
efef c
b
a
IIII
=
=
=
(2.6)
Assim, as equações (2.2), (2.4), (2.5) e (2.6) são reescritas em função de suas
componentes harmônicas, conforme definições apresentadas no capítulo 1:
(
)
1ef(1)efin
cos.I.3.VP
ϕ
=
(2.7)
efef
I.V.3S
=
(2.8)
2
V
V
1
ef
=
(2.9)
=
+=
1n
2
n
2
mdef
2
I
II
(2.10)
Onde:
φ
1
: Ângulo de defasagem entre as componentes fundamentais da tensão e da
corrente de entrada;
I
md
: Valor médio da corrente de entrada;
V
ef
: Valor eficaz da tensão de entrada monofásica do retificador trifásico híbrido;
I
ef
: Valor eficaz da corrente de entrada monofásica do retificador trifásico híbrido;
V
1
: Valor de pico da componente fundamental da tensão de entrada;
I
ef(1)
: Valor eficaz da componente fundamental da corrente de entrada;
I
n
: Valor de pico da componente harmônica da corrente de entrada para uma
freqüência de índice “n”.
As tensões instantâneas de entrada (Figura 2.1), são dadas pelas equações (2.11),
(2.12) e (2.13), abaixo:
(
)
(
)
ω.tsen.2.Vω.tv
efa
=
(2.11)
()
(
)
o
efb
120ω.tsen.2.Vω.tv +=
(2.12)
()
(
)
o
efc
120ω.tsen.2.Vω.tv =
(2.13)
54
Relacionando-se as equações (2.7), (2.8) e (2.10), reescreve-se a definição do Fator de
Potência, já apresentada no capítulo 1, conforme equação (2.14):
()
1
2
in
cos.
)DHT(1
1
S
P
FP ϕ
+
==
(2.14)
Substituindo a equação (2.1) em (2.14) obtém-se a relação entre a potência aparente de
entrada e a potência média de saída do retificador trifásico híbrido, através da equação (2.15):
S
P
η.FP =
(2.15)
Simplificando a equação (2.15), tem-se:
(
)
2
1
)DHT(1
.cos
.FP
S
P
+
η
ϕ
=η==ε
(2.16)
Onde:
ε
: Parâmetro auxiliar.
Logo, substituindo-se as equações (2.3) e (2.8) em (2.16), obtém-se a equação (2.17):
ε
=
..R3.V
V
I
Oef
2
O
ef
(2.17)
A tensão média de saída “V
O
” é calculada por meio da equação (2.18), na seqüência:
()()
ω.td.ω.tv.
T
1
V
T
0
OO
=
(2.18)
Um período da tensão instantânea de saída v
O
(ω.t) corresponde a 60° (π/3). Conforme
Figura 2.2, no intervalo de 90º a 150º, o qual constitui um período da tensão v
O
(ω.t), as
tensões de fase com valor mais positivo e mais negativo são v
a
(ω.t) e v
b
(ω.t), respectivamente.
Assim, a tensão instantânea de saída é calculada conforme equação (2.19):
(
)
(
)
(
)
.tv.tv.tv
baO
ω
ω
=
ω
(2.19)
Substituindo as equações (2.11) e (2.12) em (2.19) e fazendo as devidas manipulações
matemáticas, obtém-se a equação (2.20) a seguir:
55
() () ()
[
]
ω.tcosω.t.sen3..V
2
3
ω.tv
efO
=
(2.20)
Observa-se a equação (2.20) calcula a tensão instantânea de saída da ponte retificadora
trifásica, desconsiderando o efeito do filtro L
O
e C
O
de saída. Entretanto isto não interfere no
cálculo do valor médio da tensão na carga. Portanto, substituindo a equação (2.20) em (2.18),
tem-se a equação (2.21), considerando T=π/3:
() ()
[]
()
ωtd.ω.tcosω.t.sen3.
2.π
V.33.
V
6
5.π
2
π
ef
O
=
(2.21)
Resolvendo a integral da equação (2.21), resulta na expressão (2.22) que calcula V
O
,
abaixo:
π
6.3.V
V
ef
O
=
(2.22)
Substituindo-se a equação (2.22) em (2.17), obtém-se a corrente eficaz de entrada (I
ef
),
monofásica, em função da tensão de entrada (V
ef
), monofásica, e da carga (R
O
), através da
equação (2.23).
ε
=
..Rπ
18.V
I
O
2
ef
ef
(2.23)
Substituindo-se (2.22) em (2.23), obtém-se uma relação direta entre a corrente eficaz
de entrada (I
ef
) e a corrente média de saída (I
O
), dada através da equação (2.24).
ε
=
.π
.I6
I
O
ef
(2.24)
As equações obtidas até o momento são válidas tanto para o retificador híbrido em
análise quanto para o retificador comum de seis pulsos (Ponte de Graetz), sendo, portanto
encontradas em literaturas clássicas de eletrônica de potência [47], com algumas
aproximações em alguns casos.
Desse modo, a equação (2.24) poderá ser adaptada, para obter uma das relações entre
as correntes eficazes de entrada (I
a1ef
, I
b1ef
e I
c1ef
) do retificador não controlado (Retif-1) com a
56
sua corrente média de saída (I
Retif-1
). Como se considerou um sistema equilibrado,
analogamente à equação (2.6), pode-se estabelecer o seguinte:
ef
ef
ef
1ef
c1
b1
a1
IIII
=
=
=
(2.25)
ef
ef
ef
2ef
c2
b2
a2
IIII
=
=
=
(2.26)
Onde:
I
1ef
: Valor eficaz da corrente de entrada monofásica do retificador não controlado;
I
2ef
: Valor eficaz da corrente de entrada monofásica do retificador controlado.
Assim, adaptando (2.24), obtém-se a equação (2.27):
1-Retif1ef
I.
π.
6
I
ε
=
(2.27)
A relação entre os valores médios das correntes de saída do retificador híbrido
trifásico (Figura 2.4), constituem as seguintes equações:
2-Retif1-RetifO
III
+
=
(2.28)
m3m2m12-Retif
IIII
+
+
=
(2.29)
Na Figura 2.3, é importante esclarecer que o formato senoidal das correntes de
entrada do retificador trifásico híbrido necessita de uma relação constante entre as correntes
de entrada dos retificadores trifásicos controlado e não controlado nas fases “a”, “b” e “c”,
respectivamente.
Para uma melhor compreensão disto, será analisado com mais detalhes as correntes
i
a1
(ω.t) e i
a2
(ω.t) que compõem i
a
(ω.t), através da Figura 2.5.
De acordo com a Figura 2.5, a corrente i
a2
(ω.t) segue uma corrente de referência, a
qual é gerada subtraindo-se a corrente i
a1
(ω.t) de uma corrente senoidal i
sen
(ω.t) imposta,
conforme equação (2.30):
(
)
(
)
(
)
ω.tiω.tiω.ti
a1sena2
=
(2.30)
Sendo que:
(
)
(
)
ω.tsen.Iω.ti
msen
=
(2.31)
57
A relação entre as amplitudes máximas das correntes i
sen
(ω.t) e i
a1
(ω.t) constitui um
parâmetro importante nesta análise, dada pela equação (2.32).
1-Retif
m
I
I
K =
(2.32)
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
t
t
t
I
Retif-1
I
m
DHT = 0
()
ω.ti
a1
()
ω.ti
a2
(
)
ω.ti
a
(
)
ω.ti
sen
Figura 2.5 – Formas de onda da corrente de entrada do Retificador Híbrido (RTH), na fase “a”,
imposta como sendo senoidal através do controle do Retif-2.
Assim, a equação (2.31) pode ser reescrita conforme a seguir:
(
)
(
)
ω.tsen.I.Kω.ti
1-Retifsen
=
(2.33)
No caso da Figura 2.5, o parâmetro “K” é igual a 2 e i
a
(ω.t) possui DHT nula. Pode-se
observar que para qualquer “K>2” a DHT de i
a
(ω.t) também é nula. Seguindo o mesmo
58
raciocínio usado para compor i
a
(ω.t) (Figura 2.5), na Figura 2.6 é exemplificada a situação em
que “K<2”.
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
t
t
I
Retif-1
K.I
Retif-1
Bidirecionalidade
de corrente
DHT = 0
t
()
ω.ti
a1
(
)
ω.ti
a
(
)
ω.ti
sen
t
()
ω.ti
a2
Figura 2.6 – Formas de onda da corrente de entrada do Retificador Híbrido (RTH), na fase “a”,
imposta como sendo senoidal através do controle do Retif-2, para K<2.
Na Figura 2.6, a DHT de i
a
(ω.t) continua sendo nula, entretanto nota-se a
bidirecionalidade da corrente i
a2
(ω.t). Como o Retificador Trifásico Híbrido (RTH) é
unidirecional em corrente, esta característica de funcionamento (Figura 2.6) não pode ser
implementada através do mesmo.
Desta forma, impondo-se que a corrente i
a2
(ω.t) seja unidirecional, para um parâmetro
“K<2”, o formato das correntes i
a2
(ω.t) e i
a
(ω.t) resultam conforme Figura 2.7.
59
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
t
t
t
I
Retif-1
K.I
Retif-1
t
DHT > 0
ω∆t
(
)
ω.ti
sen
(
)
ω.ti
a1
(
)
ω.ti
a2
() ()
ω.tiω.ti
a1sen
=
(
)
ω.ti
a
Figura 2.7 – Formas de onda da corrente de entrada do Retificador Híbrido (RTH), na fase “a”,
imposta como sendo senoidal através do controle do Retif-2, para K<2.
Analisando Figura 2.7, constata-se o seguinte:
A corrente i
a2
(ω.t) resulta em uma menor área, a medida em que o fator “K” é
reduzido. Com isso, o valor eficaz (I
a2ef
) de i
a2
(ω.t) também reduz, o que representa uma
vantagem, pois o retificador controlado processará um percentual menor da potência nominal
do retificador trifásico híbrido, melhorando o seu rendimento;
Em contrapartida, a DHT de i
a
(ω.t) aumenta à medida em que o fator “K” é
reduzido, podendo não atender normas reguladoras, tornando-se uma
desvantagem.
Portanto, pretende-se desenvolver uma metodologia de projeto que possibilite
encontrar um valor “K” que resulte em um carregamento mínimo possível para o retificador
controlado (Retif-2) e uma corrente de entrada i
a
(ω.t) com uma DHT máxima permitida pelas
normas reguladoras IEC 61000-3-2 e IEC 61000-3-4. Conhecendo-se os parâmetros “K” e a
60
“DHT”, os valores eficazes das correntes de entrada I
ef
, I
a1ef
e I
a2ef
e os valores médios das
correntes de saída I
Retif-1
e I
Retif-2
, poderão ser determinados. De posse destes valores, calcula-
se também as potências aparente e média de cada retificador trifásico, o controlado (Retif-2) e
o não controlado (Retif-1).
O passo inicial é decompor em série de Fourier as correntes i
a1
(ω.t) e i
a2
(ω.t),
apresentadas na Figura 2.7. Posteriormente, somando-se as componentes de Fourier de
i
a1
(ω.t) e i
a2
(ω.t), obtém-se i
a
(ω.t) também em série de Fourier, o que possibilitará determinar
um valor de “K” para uma DHT imposta para i
a
(ω.t).
Cabe observar que a equação (2.30) não mais representa matematicamente a corrente
i
a2
(ω.t) (Figura 2.7), tornando-se necessário encontrar uma outra expressão, que resulte
i
a2
(ω.t)=0 durante a variação angular “ω∆t”.
Observa-se ainda que a variável “ω∆t” é uma expressão dependente de “K”, obtida da
seguinte maneira: Na Figura 2.7 é destacado o ângulo em que a função i
sen
(ω.t)=i
a1
(ω.t)=I
Retif-
1
. Impondo-se tal condição para a equação (2.33), obtém-se o seu ângulo correspondente (ωt),
conforme a equação (2.34).
=
K
1
arcsenω.t
(2.34)
Este ângulo pode também ser representado pela equação (2.35), abaixo:
6
π
tω.ω.t +=
(2.35)
Igualando-se as equações (2.34) e (2.35), obtém-se:
<
>
=
1K :se , realizada não Análise
2K :se , 0
2K1 :se ,
6
π
K
1
arcsen
tω.
(2.36)
Na seqüência será encontrada a nova expressão matemática de i
a2
(ω.t), seguindo o
raciocínio apresentado através da Figura 2.8.
61
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
ω∆t
t
I
Retif-1
t
t
t
K.I
Retif-1
I
Retif-1
I
Retif-1
I
Retif-1
(
)
ω.ti
a2
(
)
ω.ti
α
()
ω.ti
t
(
)
ω.ti
β
()
ω.ti
sen
Figura 2.8 – Formas de onda da corrente de entrada do Retificador Híbrido (RTH), na fase “a”,
imposta como sendo senoidal através do controle do Retif-2, para K<2.
Da Figura 2.8, obtém-se a nova equação de i
a2
(ω.t):
(
)
(
)
(
)
ω.tiω.tiω.ti
βsena2
=
(2.37)
Sendo que:
(
)
(
)
(
)
ω.tiω.tiω.ti
αβ
+
=
(2.38)
62
()
()
+
+
=
ω.t intervalo ,ω.ti
6
11.π
ω.ttω
6
11.π
t;ω
6
7.π
ω.t
6
7.π
6
5.π
ω.ttω
6
5.π
t;ω
6
π
ω.t
6
π
se
0,
ω.ti
a1
α
;
(2.39)
()
()
+
+
=
ω.t intervalo 0,
6
11.π
ω.ttω
6
11.π
t;ω
6
7.π
ω.t
6
7.π
6
5.π
ω.ttω
6
5.π
t;ω
6
π
ω.t
6
π
se ,ω.ti
ω.ti
sen
;
(2.40)
Substituindo (2.38) em (2.37), tem-se a equação (2.41).
()
(
)
(
)
(
)
ω.tiω.tiω.tiω.ti
αsena2
=
(2.41)
2.2.1 – Decomposição em Série de Fourier das Correntes de Entrada dos Retificadores
Controlado e Não Controlado
A corrente de entrada do retificador não controlado (Figura 2.7), i
a1
(ω.t), é
representada pela seguinte equação:
()
=
ω.t intervalo 0,
6
11.π
ω.t
6
7.π
:se ,I
6
5.π
ω.t
6
π
:se ,I
ω.ti
1-Retif
1-Retif
a1
(2.42)
63
Decompondo i
a1
(ω.t) nos termos constantes A
0
, A
1
e B
1
da Série de Fourier,
considerando-se T=2π, conforme as equações seguintes:
Determinando o termo A
0
:
()()
=
T
0
a10
ω.t.dω.ti
T
1
A .
(2.43)
Substituindo (2.42) em (2.43), resulta em:
() ()
=
6
11.π
6
7.π
6
5.π
6
π
1-Retif
0
ω.tdω.td
2.π
I
A .
(2.44)
Resolvendo a equação (2.44), o termo A
0
=0, devido o valor médio de i
a1
(ω.t) ser nulo.
Determinando o termo A
1
:
() ( )()
=
T
0
a11
ω.t.dtωn.senω.ti
T
2
A ...
(2.45)
Substituindo (2.42) em (2.45), obtém-se:
()() ()()
=
6
11.π
6
7.π
6
5.π
6
π
1-Retif
1
ω.t.dtωnsenω.t.dtωnsen
π
I
A .....
(2.46)
Resolvendo a equação (2.46), resulta em:
() ()
nF
π
I
nA
A1
1-Retif
1
.=
(2.47)
Onde:
()
n
6
11.π
n.cos
6
7.π
n.cos
6
5.π
n.cos
6
π
n.cos
nF
A1
+
=
(2.48)
64
Determinando o termo B
1
:
() ( )()
=
T
0
a11
ω.t.dt.ω.n.cosω.ti.
T
2
B
(2.49)
Substituindo-se (2.42) em (2.49), obtém-se:
()() ()()
=
6
11.π
6
7.π
6
5.π
6
π
1-Retif
1
ω.t.dt.ω.ncosω.t.dt.ω.ncos.
π
I
B
(2.50)
() ()
nF.
π
I
nB
B1
1-Retif
1
=
(2.51)
Sendo que:
()
n
6
π.11
.nsen
6
π.7
.nsen
6
π.5
.nsen
6
π
.nsen
nF
B1
+
+
=
(2.52)
Somando-se as equações (2.47) e (2.51), tem-se a soma total dos termos da Série de
Fourier, conforme a equação (2.53).
() ()
nF.
π
I
ni
1
1-Retif
a1_Fourier
=
(2.53)
Sendo que:
(
)
(
)
(
)
nFnFnF
B1A11
+
=
(2.54)
A corrente i
a1
(ω.t) pode também ser representada pela equação (2.55), aplicando-se o
somatório na equação (2.53), conforme abaixo:
() () ( ) () ( )
+
ε
=
=
= 1n
B1
1n
A1
1-Retif
a1_Fourier
t.ω.ncos.nFt.ω.nsen.nF.
π.
I
t.ωi
(2.55)
Através da equação (2.53) calcula-se também o valor eficaz da corrente i
a1
(ω.t),
conforme a equação (2.56).
65
()
=
ε
=
1n
2
11-Retif
era1ef_Fouri
2
nF
.
π.
I
I
(2.56)
Observa-se que o valor eficaz calculado através da equação (2.56) é equivalente ao
valor eficaz calculado pela (2.27). Para que tal equivalência seja verdadeira, é necessário que
o parâmetro auxiliar “ε” (dado pela equação (2.16)) apareça no denominador das equações
(2.55) e (2.56), uma vez que o mesmo existe na equação (2.27).
O cálculo da DHT de i
a1
(ω.t) é obtido através da equação (2.57).
()
()
=
=
=
1
1n
1
2n
2
1
a1
nF
nF
DHT_i
(2.57)
Na seqüência é feita a decomposição da corrente i
a2
(ω.t) em Série de Fourier, com
T=2π. Conforme a equação (2.41), i
a2
(ω.t) é composta por três equações: i
sen
(ω.t), i
α
(ω.t) e
i
(ω.t). A função i
sen
(ω.t) não precisa ser decomposta por ser uma senóide de 60Hz. Portanto,
serão decompostas em Série de Fourier as funções i
α
(ω.t) e i
(ω.t).
Calculando os termos constantes A
α0
, A
α1
e B
α1
da Série de Fourier de i
α
(ω.t):
Determinando o termo A
α0
:
()()
α
=
T
0
α0
ω.t.dω.ti.
T
1
A
(2.58)
Substituindo (2.39) em (2.58), resulta em:
() ()
=
+
+
tω
6
11.π
tω
6
7.π
tω
6
5.π
tω
6
π
1-Retif
α0
ω.tdω.td.
2.π
I
A
(2.59)
Analogamente à corrente i
a1
(ω.t), i
α
(ω.t) também possui valor médio nulo, portanto o
termo A
α0
=0.
Determinando o termo A
α1
:
66
() ( )()
ω=
T
0
αα1
ω.t.d.tn..senω.ti.
T
2
A
(2.60)
Levando a equação (2.39) em (2.60), obtém-se:
()() ()()
=
+
+
tω
6
11.π
tω
6
7.π
tω
6
5.π
tω
6
π
1-Retif
α1
ω.t.dt.ω.nsenω.t.dt.ω.nsen.
π
I
A
(2.61)
Resolvendo a equação (2.61) resulta-se na equação (2.62)
() ()
Kn,F.
π
I
Kn,A
Aα
1-Retif
α1
=
(2.62)
Sendo que:
()
(
)
(
)
(
)
(
)
tω..nsen.nFtω..ncos.nFKn,F
A2A1Aα
+
=
(2.63)
Na equação (2.63), F
Aα
(n,K) está em função de “K” porque o termo ω∆t é dependente
de “K” (equação (2.36)). O termo F
A1
(n) é calculado através da equação (2.48) e o termo
F
A2
(n) é calculado através da equação (2.64).
()
n
6
π.11
.nsen
6
π.7
.nsen
6
π.5
.nsen
6
π
.nsen
nF
A2
+
+
=
(2.64)
Determinando o termo B
α1
:
() ( )()
=
T
0
αα1
ω.t.dt.ω.n.cosω.ti.
T
2
B
(2.65)
Levando a equação (2.39) em (2.65), obtém-se:
()() ()()
=
+
+
tω
6
11.π
tω
6
7.π
tω
6
5.π
tω
6
π
1-Retif
α1
ω.t.dt.ω.ncosω.t.dt.ω.ncos.
π
I
B
(2.66)
67
Resolvendo a equação (2.66), obtém-se (2.67):
() ()
Kn,F.
π
I
Kn,B
Bα
1-Retif
α1
=
(2.67)
Sendo que:
()
(
)
(
)
(
)
(
)
tω..nsen.nFtω..ncos.nFKn,F
B2B1Bα
+
=
(2.68)
Na equação (2.68), o termo F
B1
(n) é calculado através da equação (2.52) e F
B2
(n) é
calculado através da equação (2.69).
()
n
6
π.11
.ncos
6
π.7
.ncos
6
π.5
.ncos
6
π
.ncos
nF
B2
+
+
=
(2.69)
Somando-se as equações (2.63) e (2.68), tem-se a soma total dos termos da Série de
Fourier, através da equação (2.70).
() ()
Kn,F.
π
I
Kn,i
α
1_-Retif
α_Fourier
=
(2.70)
Sendo que:
()
(
)
(
)
Kn,FKn,FKn,F
BαAαα
+
=
(2.71)
Portanto, i
α
(ω.t) pode também ser representada pela equação (2.72), desenvolvendo a
equação (2.70), conforme a seguir:
()
()( )
()( )
+
+
ε
=
=
=
1n
Bα
1n
Aα
1-Retif
α_Fourier
t.ω.ncos.Kn,F
t.ω.nsen.Kn,F
.
π.
I
t.ωi
(2.72)
Na seqüência, utilizando a equação (2.70), calcula-se o valor eficaz de i
α
(ω.t)
conforme equação (2.73).
()
=
ε
=
1n
2
α11-Retif
α_Fourier
2
Kn,F
.
π.
I
I
(2.73)
Calculando os termos constantes A
0
, A
1
e B
1
da Série de Fourier de i
(ω.t):
68
Determinando o termo A
0
:
()()
=
T
0
0
ω.t.dω.ti.
T
1
A
(2.74)
Substituindo (2.40) em (2.74), resulta em:
()() ()()
()() ()()
++
++
=
+
+
6
11.π
tω
6
11.π
tω
6
7.π
6
7.π
6
5.π
tω
6
5.π
tω
6
π
6
π
1-Retif
0
ω.t.dω.tsenω.t.dω.tsen
ω.t.dω.tsenω.t.dω.tsen
.
2.π
K.I
A
(2.75)
Como i
(ω.t) também possui valor médio nulo, o termo A
0
=0.
Determinando o termo A
1
:
() ( )()
=
T
0
1
ω.t.dt.ω.n.sent.ωi.
T
2
A
(2.76)
Substituindo-se a equação (2.40) em (2.76), obtém-se:
()() ( )()
()() ()()
++
++
=
+
+
6
11.π
tω
6
11.π
tω
6
7.π
6
7.π
6
5.π
ttω
6
5.π
tω
6
π
6
π
1-Retif
1
ω.t.dω.tn,Yω.t.dω.tn,Y
ω.t.dω.tn,Yω.td.ω.tn,Y
.
2.π
K.I
A
(2.77)
Onde:
()
(
)
(
)
tωn.sentωsentωn,Y ....
=
(2.78)
69
Manipulando devidamente a equação (2.77), obtém-se a equação (2.79):
() ()
Kn,F.
2.π
K.I
Kn,A
1-Retif
1
=
(2.79)
Sendo que:
()
(
)
(
)
KC.4Kn,FKn,F
inicialA
=
(2.80)
()
(
)
(
)
(
)()
Kn,MKn,MKn,MKn,MKn,F
4321A
+
+
+
=
(2.81)
Da equação (2.80) o termo C
inicial
(n,K) representa a condição inicial das integrais
desenvolvidas na equação (2.77), para n=1. Este termo é escrito com mais detalhes na
equação (2.82).
() ( )
=
=
1x
ninicial
Kx,CKC
(2.82)
Onde:
()
()
()
()
() ()
()
+
+
+
+
+
++
++
=
2
π
x.sen.
1x
6
π
.1xsent.ω
6
π
.1xsen
1x
6
π
.1xsent.ω
6
π
.1xsen
Kx,C
n
(2.83)
Os termos M
1
(n,K), M
2
(n,K), M
3
(n,K) e M
4
(n,K) existentes nas equações (2.81) são
detalhados a seguir.
()
()
()
()
() ()
()
+
+
+
+
+
++
++
=
1n
6
π
.1nsent.ω
6
π
.1nsen
1n
6
π
.1
nsent.ω
6
π
.1nsen
Kn,M
1
(2.84)
70
()
()
()
()
() ()
()
+
+
+
+
++
+
=
1n
t.ω
6
5.π
.1nsen
6
5.π
.1nsen
1n
t.
ω
6
5.π
.1nsen
6
5.π
.1nsen
Kn,M
2
(2.85)
()
()
()
()
() ()
()
+
+
+
+
+
++
++
=
1n
6
7.π
.1nsent.ω
6
7.π
.1nsen
1n
6
7.
π
.1nsent.ω
6
7.π
.1nsen
Kn,M
3
(2.86)
()
()
()
()
() ()
()
+
+
+
+
++
+
=
1n
t.ω
6
11.π
.1nsen
6
11.π
.1nsen
1n
t.
ω
6
11.π
.1nsen
6
11.π
.1nsen
Kn,M
4
(2.87)
Determinando o termo B
1
:
O termo B
1
resulta em valor nulo, devido à função i
(ω.t) ser uma função ímpar.
Portanto:
(
)
(
)
Kn,AKn,i
1_Fourier
=
(2.88)
Substituindo-se a equação (2.79) em (2.88), tem-se que:
() ()
Kn,F.
2.π
K.I
Kn,i
1-Retif
_Fourier
=
(2.89)
Substituindo-se (2.80) em (2.89) e aplicando o somatório, i
(ω.t) é também
representada através da sua série de Fourier.
71
()
()( )
() ( )
+
ε
=
=
t.ωsen.KC.4
t.ω.nsen.Kn,F
.
2.π.
K.I
t.ωi
inicial
1n
A
1-Retif
_Fourier
(2.90)
A equação (2.33) pode também ser apresentada da seguinte forma (n=1):
(
)
(
)
t.ω.nsen.I.Kt.ωi
1-Retifrsen_Fourie
=
(2.91)
Assim, considerando apenas o termo de Fourier de (2.91), obtém a seguinte equação.
(
)
1-Retifrsen_Fourie
I.KKi
=
(2.92)
Na seqüência reescreve-se a equação (2.41) considerando o somatório de suas
componentes da série de Fourier.
()
(
)
(
)()
t.ωit.ωit.ωit.ωi
_Fourierα_Fourierrsen_Fouriea2_Fourier
=
(2.93)
Para que a proporcionalidade entre as correntes i
a1
(ω.t) e i
a2
(ω.t) seja mantida, o
parâmetro auxiliar “ε” também aparece no denominador das equações (2.94), (2.96), (2.97) e
(2.98), conforme considerações feitas para as equações (2.55) e (2.56). Substituindo-se em
(2.93) as equações (2.72), (2.90) e (2.91), obtém i
a2_Fourier
(ω.t) detalhada.
()
()
[]
()
()( )
()
()
()
+
+
++
ε
=
=
α
=
α
1n
A
A
1n
B
inicial
1-Retif
a2_Fourier
t.ω.nsen.
2
Kn,K.F
Kn,F
t.ω.ncos.Kn,F
t.ωsen.KC.2πK.
.
π.
I
t.ωi
(2.94)
Reescrevendo a equação (2.93) considerando-se apenas os termos das Séries de
Fourier, em função de “n” e “K”.
()
(
)
(
)()
Kn,iKn,iKiKn,i
_Fourierα_Fourierrsen_Fouriea2_Fourier
=
(2.95)
Substituindo-se em (2.95) as equações (2.70), (2.89) e (2.92) obtém-se a seguinte
equação.
72
() ()
(
)
ε
=
2
Kn,K.F
Kn,FK.π.
π.
I
Kn,i
α
1_-Retif
a2_Fourier
(2.96)
Substituindo a equação (2.80) em (2.96) resulta em:
()
()
(
)
()
[]
++
+
ε
=
K.C2πK.
2
Kn,K.F
Kn,F
.
π.
I
Kn,i
inicial
A
α
1-Retif
a2_Fourier
(2.97)
A partir da equação (2.97), calcula-se o valor eficaz de i
a2_Fourier
(ω.t).
() ()
=
>
+
ε
=
2n
2
12_n
2
2_60Hz
1-Retif
era2ef_Fouri
2
Kn,F
2
KF
.
π.
I
I
(2.98)
Sendo que:
() ()
[]
()
()
=
++=
1
1n
A
αinicial2_60Hz
2
Kn,K.F
Kn,FK.C2πK.KF
(2.99)
()()
(
)
2
Kn,K.F
Kn,FKn,F
A
α12_n
>
+=
(2.100)
Onde os termos F
α
(n,K) e F
A
(n,K) da equação (2.100) são respectivamente
calculados pela (2.71) e (2.81).
A DHT de i
a2_Fourier
(ω.t) é calculada através da equação abaixo.
()
()
KF
Kn,F
DHT_i
0Hz62_
2n
2
12_n
a2
=
>
=
(2.101)
Finalmente, com as correntes i
a1
(ω.t) e i
a2
(ω.t) decompostas em Séries de Fourier,
determina-se a Série de Fourier de i
a
(ω.t), conforme a equação a seguir.
()
(
)
(
)
t.ωit.ωit.ωi
a2_Fouriera1_Fouriera_Fourier
+
=
(2.102)
Substituindo-se em (2.102) as equações (2.55) e (2.94).
73
()
() ( )
()
()
() ( )
[]
()
()
[]
()
++
++
+
ε
=
=
=
t.ωsen.KC.2πK.
t.ω.ncos.Kn,FnF
t.ω.nsen.
2
Kn,K.F
Kn,FnF
.
π.
I
t.ωi
inicial
1n
BαB1
1n
A
AαA1
1-Retif
a_Fourier
(2.103)
Reescrevendo a equação (2.103) considerando-se apenas os termos das Séries de
Fourier, em função de “n” e “K”.
()
(
)
(
)
Kn,iniKn,i
a2_Fouriera1_Fouriera_Fourier
+
=
(2.104)
Substituindo (2.53) e (2.97) em (2.104), resulta em:
()
() ( )
(
)
()
[]
++
+
ε
=
K.C2πK.
2
Kn,K.F
Kn,FnF
.
π.
I
Kn,i
inicial
A
α1
1_-Retif
a_Fourier
(2.105)
A partir de equação (2.105) calcula-se o valor eficaz de i
a_Fourier
(ω.t), a seguir.
() ()
=
>
+
ε
=
2n
2
1a_n
2
0Hz6a_
1-Retif
raef_Fourie
2
Kn,F
2
KF
.
π.
I
I
(2.106)
Sendo que:
()()()
(
)
2
Kn,K.F
Kn,FnFKn,F
A
α11a_n
>
=
(2.107)
() ()
[]
() ( )
()
=
α
++=
1
1n
A
1iniciala_60Hz
2
Kn,K.F
Kn,FnFK.C2πK.KF
(2.108)
A DHT de i
a_Fourier
(ω.t) é calculada através da equação (2.109), aplicando-se as
equações (2.107) e (2.108 ).
74
()
()
KF
Kn,F
DHT_i
0Hz6a_
2n
2
1a_n
a
=
>
=
(2.109)
2.2.2 Análise das Potências Média e Aparente em Função de uma DHT Imposta
para as Correntes de Entrada
No intuito de facilitar a compreensão desta análise, escolheu-se um ponto de operação
do retificador trifásico híbrido para exemplificar um projeto, cujos dados são apresentados na
tabela 2.1.
Tabela 2.1 – Dados de projeto do Retificador Trifásico Híbrido (RTH).
Dados de Projeto
Potência média nominal de saída P = 3,0 kW
Tensão de fase eficaz de alimentação V
ef
= 127 V
Tensão média de saída * V
O
= 297 V
Corrente média de saída I
O
= 10 A
Resistência de carga
R
O
= 29,7
Rendimento η = 0,95
Fator de deslocamento entre as componentes
fundamentais de tensão e corrente na entrada
Cos(φ
1
) = 1
DHT das correntes de entrada
DHT=0,025 => Caso (a)
DHT=0 => Caso (b)
* Calculada através da equação (22).
Para que se possa calcular as potências média e aparente processadas por ambos os
retificadores, não controlado e controlado, é necessário conhecer inicialmente o valor médio
das correntes de saída (I
Retif-1
e I
Retif-2
) e eficaz de entrada (I
a1ef
e I
a2ef
), respectivamente.
Observa-se que as correntes de entrada (i
a1
(ω.t) e i
a2r
(ω.t)) possuem valores médios nulos no
período da rede alimentação devido a simetria de suas formas de onda. Porém as potências
médias de entrada serão calculadas através da potência instantânea. A corrente eficaz I
a1ef
pode ser calculada através das equações (2.27) e (2.56) e I
a2ef
é calculada pela equação (2.98).
75
No entanto, estas equações dependem da corrente “I
Retif-1
” e do parâmetro “K”, calculados
conforme a seguir:
Caso (a): DHT=0,025.
- O parâmetro K :
Logicamente, a DHT adotada para as correntes de entrada (Tabela 2.1), deverá ser tal
que atenda as restrições impostas pelas normas reguladoras internacionais. Nestes exemplos
de projeto adotar-se-ão dois diferentes valores (DHT=0,025 e DHT=0). Com a escolha da
DHT, aplicando-se um método numérico qualquer na equação (2.109), determina-se o valor
do parâmetro “K” correspondente, conforme Figura 2.9. Para este projeto (DHT=0,025), o
valor encontrado corresponde a K=1,633.
1,00 1,13 1,26 1,38 1,50 1,75 1,88
0,050
0,075
0,100
0,125
0,150
0,175
0,200
K
DHT
K=1,633
DHT=0,025
DHT=0
K=2
Figura 2.9 – Variação do parâmetro “K” em função da DHT escolhida para as correntes de entrada do
retificador trifásico híbrido.
- O valor médio da corrente e da potência de saída do Retif-1:
Inicialmente faz-se a simplificação da equação (2.106).
()
KG.
2.π.
I
I
1-Retif
raef_Fourie
ε
=
(2.110)
Sendo que:
() () ( )
=
>
+=
2n
2
1a_n
2
0Hz6a_
Kn,FKFKG (2.111)
76
O parâmetro auxiliar “ε” (dado pela 2.16) é reescrito a seguir através da equação
(2.112).
(
)
2
1
)DHT(1
.cos
+
η
ϕ
=ε
(2.112)
Da equação (2.112) e com os dados Cos(φ
1
)=1, η=0,95 e DHT=0,025, obtém-se:
ε=0,949.
Como I
ef
= I
aef_Fourier
, igualam-se às equações (2.23) e (2.110) e manipulando-se
devidamente a expressão, obtém-se a seguir a equação que possibilita calcular “I
Retif-1
” em
função de “K”.
()
KG.π.R
V.218.
I
O
ef
1-Retif
=
(2.113)
Da equação (2.113) e com os dados V
ef
=127 V, R
O
=29,7 e K=1,633, obtém-se:
I
Retif-1
=6,72 A.
Assim, pela equação (2.114) determina-se a potência média de saída processada pelo
retificador não controlado.
1-RetifO1
.IVP
=
(2.114)
Pela equação (2.114) e com dados V
O
=297 V e I
Retif-1
=6,72 A, obtém-se:
P
1
=1996 W.
- O valor eficaz de i
a
(ω.t) :
Conhecendo-se o valor I
Retif-1
, calcula-se através da equação (2.23) ou (2.106) o valor
eficaz da corrente de entrada, I
ef
, do retificador trifásico híbrido. Observa-se que
I
ef
=I
aef
=I
aef
_
Fourier
.
Portanto, pela (2.106) e com os dados I
Retif-1
=6,72 A, ε=0,949 e K=1,633, obtém-se:
I
ef
=8,212 A.
Na Figura 2.10 visualiza-se a forma de onda teórica da corrente de entrada i
a
(ω.t),
gerada através da equação (2.103), com n=400.
77
t
0 2,8ms 5,6ms 8,33ms 11,13ms 13,93ms 16,67ms
-13,5 A
-9,0 A
-4,5 A
0
4,5 A
9,0 A
13,5 A
i
a
(t)
DHT=0,025
Figura 2.10 – Corrente de entrada do retificador trifásico híbrido, na fase “a”.
A norma técnica que regulamenta a DHT para retificadores trifásicos cujas correntes
eficazes de entrada por fase são menores do que 16A é a IEC 61000-3-2. Na tabela 2.2,
apresentam-se os valores admissíveis de cada componente harmônica e aos valores das
componentes harmônicas da corrente i
a
(ω.t) (Figura 2.10), para comparação e análise.
Tabela 2.2 – Verificação das componentes harmônicas da corrente de entrada i
a
(ω.t) quanto ao
atendimento da Norma Internacional IEC 61000-3-2.
Norma Internacional IEC 61000-3-2
Corrente i
a
(ω.t) apresentada na
Figura 2.10 (DHT=2,5%)
Componente
harmônica (n)
Valor eficaz máximo admissível
(I
n
)
Valor eficaz
(I
n
)
3 2,30 0,08
5 1,14 0,02
7 0,77 0,06
9 0,40 0,07
11 0,33 0,01
13 0,21 0,06
15 0,15 0,06
17 0,13 0,02
19 0,12 0,06
21 0,10 0,04
23 0,10 0,03
25 0,09 0,06
27 0,08 0,02
29 0,08 0,03
31 0,07 0,05
33 0,06 0,01
78
Os valores relacionados na Tabela 2.2 são visualizados graficamente através da Figura
2.11 a seguir:
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 2,5 %
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "a")
Valor máximo eficaz [A]
Figura 2.11 – Espectro harmônico para a corrente de entrada ia(ω.t) (Valor teórico).
Na Tabela 2.2 constam apenas as componentes harmônicas ímpares, pois a equação
(2.103) que descreve i
a
(ω.t) possui somente termos ímpares, cujos valores são menores do
aqueles apresentados na tabela 2.2. Portanto a corrente i
a
(ω.t) visualizada na Figura 2.10 está
em conformidade com a norma IEC 61000-3-2.
- O valor eficaz de i
a1
(ω.t):
Utilizando-se a equação (2.27) ou (2.56) determina-se o valor eficaz da corrente de
entrada, I
a1ef
, do retificador não controlado.
Através da (2.56) e com os dados I
Retif-1
=6,72 A e ε=0,949, obtém-se:
I
a1ef
=5,77 A.
A forma de onda de i
a1
(ω.t) é reconstruída através do somatório na equação (2.55),
sendo a curva apresentada na Figura 2.12.
79
t
0 2,8ms 5,6ms 8,33ms 11,13ms 13,93ms 16,67ms
-13,5 A
-9,0 A
-4,5 A
0
4,5 A
9,0 A
13,5 A
i
a1
(t)
DHT=0,31
Figura 2.12 – Corrente de entrada do retificador trifásico não controlado, na fase “a”.
- A DHT de i
a1
(ω.t):
Calcula-se a DHT de i
a1
(ω.t) através da equação (2.57). A DHT de i
a1
(ω.t) não
depende do valor de DHT escolhida para a corrente de entrada i
a
(ω.t). Depende apenas do
valor da indutância de saída (L
O1
+L
O2
) do retificador não controlado. Considerando-se que
esta indutância possua um valor bastante elevado, a DHT de i
a1
(ω.t) se manterá em torno de
30%. Neste projeto obteve-se uma DHT de 31%.
- O valor eficaz de i
a2
(ω.t) :
O valor eficaz da corrente de entrada do retificador monofásico SEPIC
1
é calculado
através da equação (2.98).
Com os dados I
Retif-1
=6,72 A, ε=0,949 e K=1,633, obtém-se: I
a2ef
=3,14 A.
80
Na Figura 2.13 é visualizada a forma de onda da corrente i
a2
(ω.t) gerada pela equação
(2.94).
t
0 2,8ms 5,6ms 8,33ms 11,13ms 13,93ms 16,67ms
-13,5 A
-9,0 A
-4,5 A
0
4,5 A
9,0 A
13,5 A
i
a2
(t)
Figura 2.13 – Corrente de entrada do retificador controlado, na fase “a”.
- O valor médio da corrente e potência de saída do Retif-2
:
Pela equação (2.28), com o valor I
Retif-1
(2.113) e corrente de carga (I
O
), calcula-se a
corrente média de saída (I
Retif-2
) do retificador controlado.
Assim, com os dados I
Retif-1
=6,72 A e I
O
=10 A, obtém-se: I
Retif-2
=3,38 A.
De acordo com a equação (2.28) existe uma relação linear entre as correntes médias
de saída I
Retif-1
e I
Retif-2
. Dividindo-se ambas as equações (2.28) e (2.113) pela corrente média
total (I
O
) obtém-se uma relação normalizada, possibilitando analisar a evolução de I
Retif-1
e
I
Retif-2
em termos percentuais, em função da variação do parâmetro “K”, conforme Figura
2.14, dada pelas equações (2.115) e (2.116).
()
KG
V.3.2
%I
ef
1-Retif
=
(2.115)
1_%-Retif2_%-Retif
I1I
=
(2.116)
81
1,0 1,2 1,4
1,6
1,8
2,0
0
20
40
60
80
100
K
%
DHT=0,025
K=1,633
DHT=0
K=2
DHT=0,18
K=1
55,1%
50
32,8%
67,2%
DHT=0
DHT>0
%
1-Retif
I
%
2-Retif
I
44,9%
Figura 2.14 – Variação percentual das correntes médias de saída dos retificadores controlado e não
controlado, em função do parâmetro “K”.
Logicamente, a região de interesse prático é aquela em que K é menor ou igual a 2,
minimizando-se a potência processada pelos retificadores controlados, e observando-se o
atendimento às normas de limitação de conteúdo harmônico para as correntes de entrada.
Desta forma, para a região de interesse, a relação é linear entre as correntes médias de
saída I
Retif-1
e I
Retif-2
, conforme Figura 2.14. Esta condição resulta ainda do fato de que o
retificador não controlado impõe o valor médio da tensão de saída do retificador trifásico
híbrido conforme a equação (2.22). A medida em que se aumenta a transferência de energia
através do retificador controlado (aumento do valor do parâmetro K) e conseqüentemente o
valor da corrente média I
Retif-2
, reduz-se na mesma proporção da corrente média de saída do
retificador não controlado, I
Retif-1
.
Analisando-se de forma conjunta as Figuras 2.9 e 2.14, nota-se que para uma DHT
menor do que 3% o parâmetro “K” cresce numa taxa elevada, aumentando-se também o
percentual de potência ativa processada pelo retificador controlado. Desta forma, deve ser
considerada a possibilidade de que o ponto de operação a ser escolhido contemple uma DHT
82
de valor um pouco acima de 3%, desde que a norma seja respeitada. Assim, minimiza-se o
carregamento do retificador controlado, melhorando-se a eficiência do conjunto como um
todo. No caso desta análise, conforme está destacado na Figura 2.14, o retificador controlado
conduz 32,8% para manter a DHT de 2,5%, referente ao caso (a), e 44,9% para manter a
DHT de 0%, referente ao caso (b), para as correntes de entrada.
Pela equação (2.117) calcula-se a potência média de saída (P
2
) processada pelo
retificador controlado.
2-RetifO2
.IVP
=
(2.117)
Com dados V
O
=297 V e I
Retif-2
=3,38 A, obtém-se:
P
2
=1004 W.
- Os valores médios das correntes e das potências de saída dos retificadores
monofásicos SEPIC:
Sendo um sistema equilibrado (I
m1
=I
m2
=I
m3
) pode-se reescrever a equação (2.29) e
calcular o valor médio das correntes de saída de cada retificador monofásico SEPIC,
conforme a seguir:
3
I
I
2-Retif
m1
=
(2.118)
Com dados I
Retif-2
=3,38 A, obtém-se: I
m1
=1,127 A.
Conseqüentemente, os retificadores monofásicos controlados processarão o mesmo
percentual de potência ativa, ou seja: (P
md1
= P
md2
= P
md3
).
Onde:
P
md1
, P
md2
e P
md3
: Potência ativa processada, pelo retificadores monofásicos:
SEPIC
1
, SEPIC
2
e SEPIC
3
.
Portanto:
3
P
P
2
md1
= (2.119)
Com dados P
2
=1004 W, obtém-se: P
md1
=334,7 W.
83
- Os valores das potências média e aparente de entrada dos retificadores controlado,
não controlado e do retificador trifásico híbrido:
Retificador trifásico híbrido: Para um sistema equilibrado, as potências média (P
in
) e
aparente (S) de entrada são determinadas conforme as equações (2.7) e (2.8),
reescritas a seguir:
(
)
1ef(1)efin
cos.I.3.VP
ϕ
=
(2.120)
efef
I.V.3S
=
(2.121)
Calculando o valor da potência aparente (S):
Da equação (2.121) e com os dados: V
ef
=127 V e I
ef
=8,212 A, obtém-se:
S=3129 VA.
Calculando o valor da potência ativa (P
in
):
Na equação (2.120) o termo I
ef(1)
representa o valor eficaz da componente fundamental
da corrente de entrada i
a
(ω.t). Deste modo, a equação (2.106) é reescrita considerando apenas
a sua componente fundamental, conforme a seguir:
(
)
2
KF
.
π.
I
I
0Hz6a_
1-Retif
r(1)aef_Fourie
ε
=
(2.122)
Como I
ef(1)
= I
ef_Fourier(1)
, então substitui-se (2.122) em (2.120).
() ()
10Hz6a_
1-Retifef
in
cos.KF.
2.π.
.I3.V
P ϕ
ε
=
(2.123)
Da equação (2.123) e com os dados: V
ef
=127 V, I
Retif-1
=6,72 A, ε=0,949, cos(φ
1
)=1 e
K=1,633, obtém-se:
P
in
=3128 W.
A seguir, na Figura 2.15, é mostrada a curva teórica da variação do Fator de Potência
(dado pela equação 2.14) do retificador trifásico híbrido, onde o seu valor permanece próximo
da unidade em toda a faixa de variação do parâmetro de controle “K”.
84
1,2 1,4 1,6 1,8
0,980
0,984
0,988
0,992
0,996
FP
K
1,000
DHT=0,025
K=1,633
FP=0,999
DHT=0,18
K=1
DHT=0
K=2
Figura 2.15 – Variação do Fator de Potência do retificador trifásico híbrido, em função do parâmetro
“K”.
Retificador não-controlado: Através das equações (2.7), (2.8) e (2.25), definem-se as
equações (2.124), para o cálculo da potência aparente (S
1
), e (2.125) para o cálculo de
potência ativa (P
in1
).
ef
ef1
1
I.V.3S
=
(2.124)
(
)
11ef(1)ef1in
cos.I.3.VP
ϕ
=
(2.125)
Calculando o valor da potência aparente (S
1
):
Da equação (2.124) e com os dados: V
ef
=127 V e I
1ef
=5,77 A, obtém-se:
S
1
=2198 VA.
Calculando o valor da potência ativa (P
in1
):
Como I
1ef(1)
= I
a1ef_Fourier(1)
, substitui-se (2.56) em (2.125), considerando apenas a sua
componente fundamental.
() ( )
1
1
1n
1
1-Retifef
1in
cos.nF.
2.π.
.I3.V
P ϕ
ε
=
=
(2.126)
Da equação (2.126) e com os dados: V
ef
=127 V, I
Retif-1
=6,72 A, ε=0,949, cos(φ
1
)=1 e
K=1,633, obtém-se:
85
P
in1
=2102 W.
Diferentemente do que foi constatado para a Figura 2.15, o Fator de Potência (FP
1
) do
retificador trifásico não controlado (dado pela equação 2.127), mantém-se constante uma vez
que o formato da corrente i
a1
(ω.t) não controlada não depende do valor do parâmetro de
controle “K”, conforme Figura 2.16.
1
1in
1
S
P
FP =
(2.127)
1,0 1,2 1,4 1,6 1,8 2,0
0,950
0,952
0,954
0,956
0,958
K
0,960
FP=0,9565
FP
1
Figura 2.16 – Variação do Fator de Potência do retificador não controlado, em função do parâmetro
“K”.
Retificador controlado
: Analogamente, pelas equações (2.7), (2.8) e (2.26) define-se a
equação (2.128), para o cálculo da potência aparente (S
2
), e (2.129) para o cálculo de
potência ativa (P
in2
).
ef
ef2
2
I.V.3S
=
(2.128)
(
)
12ef(1)ef2in
cos.I.3.VP
ϕ
=
(2.129)
Calculando o valor da potência aparente (S
2
):
Da equação (2.128) e com os dados: V
ef
=127 V e I
2ef
=3,14 A, obtém-se:
S
2
=1196 VA.
Calculando o valor da potência ativa (P
in2
):
86
Como I
2ef(1)
= I
a2ef_Fourier(1)
, substitui-se (2.98) em (2.129), considerando apenas a sua
componente fundamental.
() ()
1Hz60_2
1-Retifef
2in
cos.KF.
2.π.
.I3.V
P ϕ
ε
=
(2.130)
Da equação (2.130) e com os dados: V
ef
=127 V, I
Retif-1
=6,72 A, ε=0,949, cos(φ
1
)=1 e
K=1,633, obtém-se:
P
in2
=1025 W.
Fator de Potência (FP
2
) do retificador trifásico controlado (dado pela equação 2.131),
varia entre 0,26 (para K=1) e 0,94 (para K=2), conforme Figura 2.17, devido ao conteúdo
harmônico elevado da corrente i
a2
(ω.t) .
2
2in
2
S
P
FP =
(2.132)
1,0 1,2 1,4 1,6 1,8 2,0
0
0,2
0,4
0,6
0,8
FP
2
K
1,0
DHT=0,025
K=1,633
FP=0,86
Figura 2.17 – Variação do Fator de Potência do retificador controlado, em função do parâmetro “K”.
Na seqüência (Figura 2.18) as duas curvas, (P
in1
%) e (P
in2
%), representam a variação
percentual das potências médias de entrada dos retificadores não controlado e controlado,
respectivamente, em função do parâmetro “K”. De forma idêntica às potências médias de
saída (mostradas na Figura 2.14), as potências médias de entrada possuem uma relação linear
e de mesmo percentual em toda a faixa de variação de “K”. Em contrapartida o variação
percentual das potências aparente de entrada dos retificadores não controlado (S
1
%) e
controlado (S
2
%) variam de forma não linear em relação à potência aparente total de entrada
87
(S), conforme Figura 2.19. Isto ocorre porque não existe uma combinação linear entre as
correntes de entrada i
a1
(ω.t) e i
a2
(ω.t). A combinação linear entre i
a1
(ω.t) e i
a2
(ω.t) implicaria
no fato de que a relação entre as equações (2.56) e (2.98) deveria resultar em um valor
constante único para todas as componentes harmônicas (exemplo: Equação (2.133)), o que de
fato não ocorre.
Dividindo (2.56) por (2.98) resulta em:
()
() ()
=
>
=
+
=
2n
2
12_n
2
2_60Hz
1n
2
1
2
Kn,F
2
KF
2
nF
1nqualquer
para constante alorV
(2.133)
1,0 1,2 1,4
1,6
1,8 2,0
0
20
40
60
80
100
K
%
DHT=0,025
K=1,633
DHT=0
K=2
DHT=0,18
K=1
55,1%
44,9%
50
P
in1
%
32,8%
67,2%
P
in2
%
Figura 2.18 – Variação percentual das potências médias de entrada dos retificadores controlado e
não controlado, em função do parâmetro “K”.
Os valores percentuais P
in1
%, P
in2
%, S
1
% e S
2
%, são determinados da seguinte
maneira:
O valor percentual P
in1
%, pela equação (2.134) {dividindo-se (2.125) por
(2.123)}:
88
()
()
KF
nF
.100%P
0Hz6a_
1
1n
1
in1
=
=
(2.134)
O valor percentual P
in2
%, pela equação (2.135) {dividindo-se (2.130) por
(2.123)}:
(
)
()
KF
KF
.100%P
0Hz6a_
0Hz62_
in2
=
(2.135)
O valor percentual S
1
%, pela equação (2.136) {dividindo-se (2.124) por
(2.121)}:
()
() ( )
=
>
=
+
=
2n
2
1a_n
2
0Hz6a_
1n
2
1
1
Kn,FKF
nF
.100%S
(2.136)
O valor percentual S
2
%, pela equação (2.137) {dividindo-se (2.128) por
(2.121)}:
() ( )
() ( )
=
>
=
>
+
+
=
2n
2
1a_n
2
0Hz6a_
2n
2
12_n
2
2_60Hz
2
Kn,FKF
Kn,FKF
.100%S
(2.137)
89
1,0 1,2 1,4
1,6
1,8 2,0
0
20
40
60
80
100
K
%
38,2%
70,3%
S
1
%
S
2
%
DHT=0,025
K=1,633
DHT=0
K=2
DHT=0,18
K=1
56,7%
47,9%
50
Figura 2.19 – Variação percentual das potências aparente de entrada dos retificadores controlado e
não controlado, em função do parâmetro “K”.
- O valor médio e de pico da corrente através dos diodos do retificador não
controlado (Retif-1)
:
Cada diodo conduz a corrente “I
Retif-1
durante 120º. Para um sistema em equilíbrio,
cada diodo da ponte retificadora conduz o mesmo valor médio da corrente. Portanto, calcula-
se a corrente média que circula através do diodo “D
1
”, Figura 2.4, conforme a seguir.
()
ω.td.I.
2.π
1
I
6
5.π
6
π
1-RetifDmd
=
(2.138)
Resolvendo a equação (2.138), obtém-se (2.139).
3
I
I
1-Retif
Dmd
=
(2.139)
Da equação (2.139) e com os dados: I
Retif-1
=6,72 A, calcula-se:
I
D1md
=2,24 A.
90
- Esforços máximos de corrente e de tensão sobre diodos do retificador não
controlado (Retif-1)
:
A equação (2.20) descreve a tensão v
O
(ω.t)=v
a
(ω.t)-v
b
(ω.t) entre saída da ponte
retificadora trifásica de diodos e o filtro da saída do retificador trifásico híbrido no intervalo
entre 90º e 150º. Em ω.t =120º v
O
(ω.t) atinge o seu valor máximo, o que corresponde à tensão
máximo (V
Dpico
) aplicada sobre cada diodo da ponte retificadora, conforme equação (2.140)
efDPico
.V6V =
(2.140)
Da equação (2.140) e com os dados: V
ef
=127 V, calcula-se:
V
DPico
=311 V.
O valor máximo da corrente através do diodo é ajustando o seu valor médio pela
seguinte relação:
Dividindo (2.140) pela (2.22):
3
.V
6.3
.V6
V
V
ef
ef
O
DPico
π
=
π
=
(2.141)
Por fim, calcula-se o valor da corrente de pico através dos diodos.
1-RetifDPico
I.
3
I
π
=
(2.142)
Da equação (2.142) e com os dados: I
Retif-1
=6,72 A, calcula-se:
I
DPico
=7,04 A.
91
Caso (b): DHT=0.
Para o caso DHT=0, a tabela 2.3 apresenta de forma resumida todos os
parâmetros/variáveis, em função dos valores do exemplo de cálculo para DHT=0,025.
Tabela 2.3 – Comparações de Esforços e Fluxo de Potência, em Função da DHT de Projeto.
Parâmetros/Variáveis
DHT=0,025
K=1,633
DHT=0
K=2
Valor médio da corrente de saída do retificador não
controlado, calculado através da equação (2.113).
I
Retif-1
=6,72 A
I
Retif-1
=5,5 A.
Valor médio da corrente de saída do retificador
controlado, calculado através da equação (2.28).
I
Retif-2
=3,38 A
I
Retif-2
=4,5 A
Valor médio da potência de saída do retificador não
controlado, calculado através da equação (2.114).
P
1
=1996 W
P
1
=1634 W
Valor médio da potência de saída do retificador
controlado, calculado através da equação (2.117).
P
2
=1004 W
P
2
=1366 W
Valor médio da corrente de saída de cada retificador
monofásico SEPIC, calculado através da equação (2.118)
.
I
m1
=1,127 A
I
m1
=1,53 A
Valor médio da potência de saída de cada retificador,
monofásico SEPIC calculado através da equação (2.119).
P
md1
=334,7 W
P
md1
=453,8 W
Valor eficaz da corrente de entrada do retificador trifásico
híbrido, calculado através da equação (2.106).
I
aef
=8,21 A
I
aef
=8,21 A
Valor eficaz da corrente de entrada do retificador não
controlado, calculado através da equação (2.56).
I
a1ef
=5,77 A
I
a1ef
=4,73 A
Valor eficaz da corrente de entrada do retificador
controlado, calculado através da equação (2.98).
I
a2ef
=3,14 A
I
a2ef
=3,93 A
92
Valor da potência média de entrada processada pelo
retificador não controlado, calculado através da equação
(2.126).
P
in1
=2102 VA
P
in1
=1725 W
Valor da potência média de entrada processada pelo
retificador controlado, calculado através da equação
(2.130).
P
in2
=1025 W
P
in2
=1403 W
Valor da potência média de entrada processada pelo
retificador híbrido, calculado através da equação (2.123).
P
in
=3128 W
P
in
=3128 W
Valor da potência aparente processada pelo retificador
não controlado, calculado através da equação (2.125).
S
1
=2198 VA
S
1
=1803 VA
Valor da potência aparente processada pelo retificador
controlado, calculado através da equação (2.126).
S
2
=1196 VA
S
2
=1498 VA
Valor da potência aparente processada pelo retificador
trifásico híbrido calculado através da equação (2.121).
S=3129 VA
S=3128 VA
Valor médio da corrente através dos diodos da ponte
retificadora da estrutura não controlada, calculado através
da equação (2.139).
I
D1md
=2,24 A
I
D1md
=1,85 A
93
2.3 – Conclusões
Apresentou-se a análise quantitativa do Retificador Trifásico Híbrido com correção do
Fator de Potência, que possibilita conhecer, mediante a uma DHT imposta para as correntes
de entrada, o valor eficaz das tensões e das correntes de entrada e o valor médio da tensão e
das correntes de saída, assim como as potências média e aparente processadas através de cada
estrutura retificadora, controlada e não-controlada.
Devido à tensão de saída do retificador trifásico híbrido variar linearmente e
proporcionalmente ao valor eficaz das tensões de alimentação, torna-se impossível regular a
tensão de saída através dos retificadores controlados. No entanto, é considerado para esta
aplicação que o conversor CC-CC (ou, CC-CA) a ser possivelmente conectado no barramento
CC de saída do retificador híbrido esteja apto a compensar as possíveis variações deste
barramento.
Analisando os dados apresentados na tabela 2.3, nota-se que impondo uma DHT nula,
caso (b), para as correntes de entrada, resulta em um acréscimo de 25,3% do valor da potência
aparente de entrada (S
2
), para os conversores chaveados, e um acréscimo de 36,87% do valor
da potência média de saída (P
2
), em relação ao caso (a) (DHT=0,025), sendo ambas as
magnitudes, S
2
e P
2
, processadas pelo retificador controlado (Retif-2), composto pelos três
retificadores monofásicos SEPIC.
Conforme comentado anteriormente, observa-se através das Figuras 2.9 e 2.14, que o
percentual de energia processado pelo retificador controlado cresce de forma elevada para
uma DHT menor do que 3%. Isto implica no aumento de esforços de corrente e tensão no
retificador controlado e redução do rendimento total do retificador trifásico híbrido,
aumentando-se os custos.
94
CAPÍTULO 3
3 – Análise do Funcionamento e Projeto do Conversor SEPIC
3.1 – Introdução
Neste capítulo, apresenta-se a análise do funcionamento do conversor SEPIC
1
operando no modo de condução contínua e com modulação por histerese variável, tomando
como base os valores das tensões de entrada e saída e da corrente de entrada, previamente
definidas através da análise desenvolvida no Capítulo 2.
Além disso, serão apresentadas considerações gerais da modulação por histerese e
fundamentação teórica da modulação por histerese digital empregada para o controle do
conversor SEPIC
1
.
3.2 – Considerações e Simplificações da Análise
O conversor SEPIC
1
empregado na composição do Retificador Trifásico Híbrido teve
o seu circuito levemente alterado, conforme Figura 1.29 (Capítulo 1), objetivando uma
simetria no funcionamento da estrutura trifásica como um todo. Como exemplo, para o
retificador monofásico SEPIC
1
conectado na fase “a” (Figura 3.1), o indutor de entrada (L
in
) e
o Capacitor de acumulação (C
E
) foram substituídos pelos indutores série (L
1
e L
2
) e pelos
capacitores série (C
1
e C
2
), respectivamente. Além disso, foram acrescentados dois diodos no
circuito (D
11
e D
13
).
D
7
D
8
D
9
L
1
L
2
S
1
C
2
C
1
D
10
L
3
D
11
D
12
D
13
+
-
()
.tv
in
ω
()
.ti
in
ω
+
-
()
.tv
a
ω
+
-
()
.tv
O
ω
()
.ti
m1
ω
()
.ti
a2
ω
Figura 3.1 – Retificador monofásico SEPIC
1
modificado.
95
Entretanto, apesar das modificações realizadas, o seu funcionamento padrão foi
preservado. Assim, a análise decorrerá do circuito original equivalente apresentado na Figura
3.2.
L
in
S
1
C
E
L
m
D
1
+
-
()
.ti
s
ω
+
-
+
(
)
.tv
C
ω
-
(
)
.ti
Lm
ω
()
.tv
Lm
ω
+
-
(
)
.ti
C
ω
()
.tv
in
ω
()
.ti
in
ω
(
)
.tv
O
ω
()
.ti
m1
ω
()
.tv
Lin
ω
+
-
Figura 3.2 – Conversor CC SEPIC
1
, na sua forma convencional.
Inicialmente, será analisado o funcionamento do conversor SEPIC
1
no período da rede
CA de alimentação, descrevendo-se as equações para o cálculo dos seguintes valores: Médio,
eficaz e de pico das correntes e tensões de entrada e saída, e potência ativa de entrada e de
saída.
Posteriormente, a partir do tópico 3.2.2, será apresentada a análise do funcionamento
no período de comutação e da modulação por histerese empregada no controle do conversor
SEPIC
1
. Nesta etapa serão determinados os valores das indutâncias L
in
e L
m
, da capacitância
C
E
, das freqüências máxima e mínima de operação do conversor e dos esforços máximos de
tensão e corrente através dos interruptores.
3.3 – Análise no Período da rede CA de Alimentação
As formas de onda da tensão v
in
(ω.t) e corrente i
in
(ω.t) de entrada do circuito
equivalente (Figura 3.2), apresentadas na Figura 3.3, representam as formas de onda
retificadas de v
a
(ω.t) e i
a2
(ω.t), respectivamente, portanto com os mesmos valores de pico e
eficaz.
96
0
(
)
.tv
in
ω
t
(
)
.ti
in
ω
p
V
p
I
T
r
=8,333ms
tω.
6
π
π2
π
6
.5 π
2
π
Vp
I
Figura 3.3 – Formas de onda da tensão e corrente de entrada do SEPIC
1
.
3.3.1 – Os Valores de Pico de Entrada
Os valores de pico V
p
de v
in
(ω.t) e I
p
e I
Vp
de i
in
(ω.t) são calculados a partir das
equações (3.1), (3.2) e (3.3).
2VV
efp
.=
(3.1)
ε
=
1-Retif
p
I
.K.
2
1
I
(3.2)
()
1K.
I
I
1-Retif
Vp
ε
=
(3.3)
Onde:
K : Parâmetro de Controle
;
ε : Parâmetro auxiliar (dado pela equação 2.16)
;
V
p
: Valor de pico da tensão de entrada do conversor SEPIC
1;
I
Vp
: Valor da corrente de entrada quando a tensão v
in
(ω.t) for máxima (V
p
)
;
I
p
: Valor de pico da corrente de entrada do conversor SEPIC
1;
V
ef
: Valor eficaz da tensão de entrada monofásica do retificador trifásico híbrido;
97
I
Retif-1
: Valor médio da corrente de saída do retificador não controlado (Retif-1).
3.3.2 – Os Valores Eficazes de Entrada
O valor eficaz (I
inef
) de i
in
(ω.t) é determinado pela (3.4) (equivalente à equação (2.98)
definida no Capítulo 2) considerando-se o valor do parâmetro de controle “K”, previamente
escolhido. Assim, o valor eficaz “I
inef
” é equivalente ao valor eficaz “I
a2ef
”.
e2efinef
II
=
(3.4)
Sendo que:
()
KF.
π.
I
I
2
1-Retif
a2ef
ε
=
(3.5)
()
(
)
(
)
=
>
+=
2n
2
12_n
2
2_60Hz
2
2
Kn,F
2
KF
KF
(3.6)
()
KF.
2.π.
I
i
2_60Hz
1-Retif
2ef(1)
ε
=
(3.7)
Onde:
F
2_60Hz
(K) : Valor de pico da componente fundamental da corrente i
a2
(ω.t) (definido
pela equação 2.99);
F
2_n>1
(n,K) : Valor de pico das componentes de ordem harmônica n>1 da corrente
i
a2
(ω.t) (definido pela equação 2.100);
I
2ef(1)
: Valor eficaz da componente fundamental da corrente i
a2
(ω.t).
Quanto ao valor eficaz (V
ef
) de v
in
(ω.t), é um dado de projeto do retificador trifásico
híbrido.
3.3.3 – Os Valores Médios de Entrada
Na seqüência obter-se-ão as equações para o cálculo do valor médio da tensão e da
corrente de entrada, v
in
(ω.t) e i
in
(ω.t), respectivamente.
Aplicando-se a definição do valor médio, obtém-se (3.8) e (3.9) a partir da Figura 3.3.
()()
=
π
0
pinMd
ω.t.dt.ω.senV.
π
1
V
(3.8)
98
()() ()()()
()()
+
++
ε
=
+
π
6
5.π.
tω
6
5.π
tω
6
π
6
π.
0
1Retif
inMd
ω.t.dt.ωsenK.
ω.t.d1t.ωK.senω.t.dt.ωsenK.
.
π.
I
I
(3.9)
Desenvolvendo (3.8) e (3.9) resultam as equações (3.10) e (3.11) para o cálculo do
valor médio de v
in
(ω.t) e i
in
(ω.t), respectivamente.
efinMd
.V
π
22.
V =
(3.10)
()
[]
()
{}
π
+
επ
=
3
tω..2tω.sen1tω.cos.32K..
.
I
I
1Retif
inMd
(3.11)
Onde:
I
inMd
: Valor médio da corrente de entrada do conversor SEPIC
1
;
V
inMd
: Valor médio da corrente de entrada do conversor SEPIC
1
;
ω∆t: Descontinuidade da corrente i
in1
(ω.t) (definida pela equação (2.36)).
3.3.4 – Os Valores Médios de Saída
O valor médio da tensão de saída do SEPIC
1
equivale à tensão média de saída do
retificador híbrido, calculada pela equação (2.22) e representada pela (3.12). Cabe ressaltar
que as tensões de entrada do retificador híbrido, analisado no Capítulo 2, são equilibradas.
Portanto, o conversor SEPIC
1
fornece um terço da corrente média de saída (I
Retif-2
) do
retificador controlado (Retif-2) para a carga, conforme equação (2.118), reescrita através da
(3.13).
π
6.3.V
V
ef
O
=
(3.12)
3
I
I
2-Retif
m1
=
(3.13)
Onde:
I
m1
: Valor médio da corrente de saída do conversor SEPIC
1
;
I
Retif-2
: Valor médio da corrente de saída do retificador controlado (Retif-2);
I
Retif-1
: Valor médio da corrente de saída do retificador não controlado (Retif-1);
99
I
O
: Valor médio da corrente total de saída do retificador híbrido;
R
O
: Resistência de carga;
P
: Potência ativa (Potência média) de saída do retificador híbrido.
O valor médio “I
Retif-2
“ é calculado pela equação (2.28), representada na seqüência
pela (3.14).
1-RetifO2-Retif
III
=
(3.14)
A corrente média total (I
O
) pode ser calculada manipulando a (3.12), resultando em:
efO
ef
O
V
P
.
18
..6
π.R
6.3.V
I
π
==
(3.15)
O valor médio “I
Retif-1
“ foi definido pela equação (2.113), reescrita a seguir pela (3.16).
() ()
ef
O
ef
1-Retif
V
P
.
KG.3
.π.2
KG.π.R
V.218.
I ==
(3.16)
Substituindo-se (3.15) e (3.16) em (3.14), obtém-se I
Retif-2
em função da tensão eficaz
de entrada (V
ef
), potência média na carga (P) e do parâmetro de controle (K), conforme
(3.17).
()
ef
2-Retif
V
P
.
KG
6
3.
18
2π.
I
=
(3.17)
Finalmente, levando a (3.17) em (3.13), resulta na equação (3.18) para o cálculo da
corrente média de saída do SEPIC
1
.
()
ef
m1
V
P
.
KG
6
3.
54
2π.
I
=
(3.18)
3.3.5 – Potência Ativa de Entrada e de Saída
Relacionando as potências de entrada e de saída através de um rendimento “η
Sepic
considerado para o conversor SEPIC
1
, conforme equação (3.19).
Sepic
1md
in2a
P
P
η
=
(3.19)
Onde:
P
in2a
: Valor da potência ativa de entrada do conversor SEPIC
1
;
P
md1
: Valor da potência média de saída do conversor SEPIC
1
;
100
η
Sepic
: Rendimento do conversor SEPIC
1
.
Aplicando a definição de potência ativa na entrada do conversor SEPIC
1
, tem-se a
seguinte relação, através da Figura 3.1.
()()() ()()()
==
π
0
inin
2.π
0
a2ain2a
ω.t.dt.ω.it.ωv.
π
1
ω.t.dt.ω.it.ωv.
2.π
1
P
(3.20)
Da definição anterior (descrita na (3.20)) optou-se pela relação a seguir:
()()()
=
2.π
0
a2ain2a
ω.t.dt.ω.it.ωv.
2.π
1
P
(3.21)
Desenvolvendo a equação (3.21), considerando-se a tensão de entrada v
a
(ω.t)
puramente senoidal, a potência P
in2a
é determinada pela (3.22).
(
)
12ef(1)efa2in
cos.I.VP
ϕ
=
(3.22)
Onde:
I
2ef(1)
: Valor eficaz da componente fundamental da corrente i
a2
(ω.t), definida pela
equação (3.7);
φ
1
: Ângulo de defasagem entre as componentes fundamentais da tensão e da
corrente de entrada.
O cálculo da potência P
md1
é realizado através da (3.23), definida anteriormente pela
(2.119) no Capítulo 2.
m1O1md
I.VP
=
(3.23)
Substituindo (3.12) e (3.18) em (3.23), resulta em:
()
P.
KG
3.2
1.
3
1
P
md1
=
(3.24)
3.4 – Análise do Conversor SEPIC
1
no Período de Comutação
Este tópico compreende as análises qualitativa e quantitativa do conversor SEPIC
1
[48] (destacado na Figura 3.2), e a fundamentação teórica da modulação por histerese
proposta.
101
3.4.1 – Análise Qualitativa
O conversor SEPIC
1
opera no modo de condução contínua, portanto apresenta duas
etapas de funcionamento, e serão analisadas assumindo-se as seguintes simplificações:
Todos os dispositivos semicondutores e demais componentes do circuito são ideais, ou
seja, os elementos reativos são considerados puros, sem resistências em série ou
capacitâncias entre enrolamentos;
Os valores das tensões de entrada (V
in
) e de saída (V
O
), assim como da corrente total
de carga (I
O
) se mantêm constantes durante todo o período (T
s
) de comutação e são
iguais aos seus valores médios instantâneos. Conseqüentemente, os valores médios
das tensões sobre os indutores (L
in
e L
m
) e da corrente através do capacitor de
acumulação (C
E
) são nulos, caracterizando-se a operação em estado de equilíbrio.
Todas as equações que aparecem na análise referem-se a um período discreto de
comutação, e, portanto estão em função de “t”, ao invés de “ω.t” utilizado no período
de rede.
3.4.1.1 - Primeira etapa de funcionamento: t
ON
(t
0,
t
1
)
Inicialmente, em um instante anterior a t=t
0
, considera-se o capacitor de acumulação C
E
carregado com uma tensão igual a V
in
e o interruptor S
1
bloqueado. No instante t=t
0
o
interruptor S
1
é comandado para a condução. O indutor de entrada L
in
armazena a energia
proveniente da rede de alimentação e o capacitor de acumulação C
E
transfere a energia
armazenada na etapa anterior para o indutor de saída L
m
. A tensão sobre o capacitor C
E
é
considerada constante e igual a V
in
, ela representa o valor médio instantâneo da tensão de
entrada v
in
(t). As correntes i
in
(t) e i
Lm
(t) crescem linearmente com uma taxa de variação igual
a V
in
/L
in
e V
in
/L
m
, respectivamente. Durante esta etapa, o diodo D
1
permanece bloqueado e,
portanto, não há circulação de energia para tensão de saída (V
O
). O circuito equivalente desta
etapa é mostrado na Figura 3.4.
L
in
S
1
C
E
L
m
D
1
+
-
()
ti
s
+
-
+
(
)
tv
C
-
(
)
ti
Lm
()
tv
Lm
+
-
(
)
ti
C
in
V
()
ti
in
O
V
()
ti
m1
()
tv
Lin
+
-
Figura 3.4 – Primeira etapa de funcionamento do conversor CC SEPIC
1
.
102
No instante t=t
1
o interruptor S
1
é comandado para o bloqueio dando início a segunda
etapa de funcionamento.
3.4.1.2 – Segunda etapa de funcionamento: t
OFF
(t
1,
t
2
)
Com o bloqueio do interruptor S
1
no instante t=t
1
, o diodo D
1
passa a conduzir,
transferindo a energia armazenada nos indutores (L
in
e L
m
) para a tensão de saída (V
O
). As
correntes i
in
(t) e i
Lm
(t) decrescem linearmente com uma taxa de variação igual à V
O
/L
in
e
V
O
/L
m
, respectivamente. Durante esta etapa o capacitor C
E
acumula energia. O circuito
equivalente é mostrado na Figura 3.5.
L
in
S
1
C
E
L
m
D
1
+
-
()
ti
s
+
-
+
(
)
tv
C
-
(
)
ti
Lm
()
tv
Lm
+
-
(
)
ti
C
in
V
()
ti
in
O
V
()
ti
m1
()
tv
Lin
+
-
Figura 3.5 – Segunda etapa de funcionamento do conversor CC SEPIC
1
.
No instante t=t
2
o interruptor S
1
é novamente comandado para a condução, forçando o
bloqueio do diodo D
1
e reiniciando o ciclo de operação através da primeira etapa de
funcionamento. O modo de condução contínua é caracterizado pela habilitação do interruptor
S
1
antes que a corrente através do diodo D
1
se anule. As formas de onda das tensões e
correntes correspondentes às duas etapas de funcionamento são apresentadas através das
Figuras 3.6 e 3.7.
103
t
t
t
()
ti
Lm
0Lm
tI
1Lm
tI
.t
L
V
m
in
.t
L
V
m
O
()
ti
C
0in
tI
1in
tI
.t
L
V
in
O
.t
L
V
m
in
()
ti
in
0in
tI
1in
tI
.t
L
V
in
in
.t
L
V
in
O
.t
L
V
eq
in
()
ti
s
t
()
ti
m1
.t
L
V
eq
O
t
ON
t
OFF
t
s
T
0
0
0
0
0
0
t
1
t
2
t
1Lm
t-I
0Lm
tI-
1Lm1in
tItI +
0Lm0in
tItI +
1Lm1in
tItI +
0Lm0in
tItI +
in
I
Lm
I
Lm
I
in
I
Valoresdios
instantâneos
Figura 3.6 – Principais Formas de onda das correntes através do Conversor CC SEPIC
1
.
104
t
t
()
tv
C
t
()
tv
Lin
in
V
O
-V
0
()
tv
Lm
in
V
O
-V
0C
tV
inC
VV =
1C
tV
0
0
C
V
()
tV
m1
()
tV
s
t
0
2
VV
VC
Oin
++
Oin
VV +
t
0
2
VV
VC
Oin
++
Oin
VV +
ON
t
OFF
t
s
T
0
t
1
t
2
t
Valor médio
instantâneo
Figura 3.7 – Principais Formas de onda das tensões no Conversor CC SEPIC
1
.
105
Onde:
V
in
: Valor médio instantâneo de v
in
(t);
V
O
: Valor médio instantâneo v
O
(t) (igual ao valor médio no período de rede);
I
in
: Valor médio instantâneo de i
in
(t);
I
in
t
0
: Valor inicial de i
in
(t) (em t=t
0
);
I
in
t
1
: Valor máximo de i
in
(t) (em t=t
1
);
I
in
: Ondulação (ripple) de i
in
(t);
I
Lm
: Valor médio instantâneo de i
Lm
(t);
I
Lm
t
0
: Valor inicial de i
Lm
(t) (em t=t
0
);
I
Lm
t
1
: Valor máximo de i
Lm
(t) (em t=t
1
);
I
Lm
: Ondulação (ripple) de i
Lm
(t);
V
C
: Valor médio instantâneo v
C
(t) (igual a V
in
);
V
C
t
0
: Valor inicial e máximo de v
C
(t) (em t=t
0
);
V
C
t
1
: Valor de v
C
(t) em t=t
1
;
V
C
: Ondulação (ripple) de v
C
(t).
3.4.1.3 – Influência do Capacitor de Acumulação C
E
no funcionamento do SEPIC
1
De acordo com as formas de onda destacadas na Figura 3.7, a tensão sobre o capacitor
C
E
oscila em torno do seu valor médio instantâneo (V
in
). A amplitude desta ondulação (V
C
)
cresce à medida em que o valor da capacitância C
E
diminui e reduz à medida em que o valor
da capacitância C
E
aumenta. Se a redução de C
E
resultar em uma freqüência de ressonância
(f
c
) entre L
m
e C
E
e/ou entre L
in
e C
E
, com um valor próximo ao da freqüência de comutação
(f
s
), as derivadas de subida da corrente i
Lm
(t) e/ou de descida da corrente i
in
(t) deixarão de ter
um comportamento quase linear, podendo dificultar o controle destas correntes. Além disso,
tem-se um aumento de esforços de tensão sobre o interruptor principal S
1
e o diodo de saída
D
1
. Em contrapartida, se o valor de C
E
for aumentado o bastante até que a freqüência de
ressonância (f
c
) entre L
m
e C
E
e/ou entre L
in
e C
E
se aproxime do valor da freqüência da rede
(f
r
) poderão surgir oscilações de baixa freqüência nas correntes i
Lm
(t) e i
in
(t) e a injeção de
harmônicas na rede. Uma solução prática adotada neste projeto foi o ajuste dos valores destes
elementos de maneira a resultar uma freqüência de ressonância localizada entre uma década
abaixo da freqüência mínima de comutação (f
smín
) e uma década acima da freqüência da rede
de alimentação (f
r
). Assim, conforme Figura 3.5, pode-se desprezar a influência da ondulação
da tensão (V
C
) na derivada de subida da corrente i
Lm
(t) (intervalo t
ON
) e na derivada de
descida da corrente i
in
(t) (intervalo t
OFF
), facilitando a análise e projeto sem comprometer a
106
precisão. Observa-se que no cálculo dos esforços de tensão [v
s
(t)] e [v
m1
(t)], sobre o
interruptor S
1
e o diodo D
1
, respectivamente, a ondulação da tensão (V
C
) é considerada,
conforme é destacado na Figura 3.7.
3.4.2 – Análise Quantitativa
3.4.2.1 - Primeira etapa de funcionamento: t
ON
(t
0,
t
1
)
Condições iniciais em t=t
0
: Condições finais em t=t
1
:
I
in
(t
0
) = I
in
t
0
I
in
(t
1
) = I
in
t
1
I
Lm
(t
0
) = I
Lm
t
0
I
Lm
(t
1
) = I
Lm
t
1
I
C
(t
0
) = I
Lm
t
0
I
C
(t
1
) = I
Lm
t
1
I
m1
(t
0
) = 0 I
m1
(t
1
) =0
I
s
(t
0
) = I
in
t
0
+ I
Lm
t
0
I
s
(t
1
) = I
in
t
1
+ I
Lm
t
1
V
Lin
(t
0
) = V
in
V
Lin
(t
1
) = V
in
V
Lm
(t
0
) = V
in
V
Lm
(t
1
) = V
in
V
C
(t
0
) = V
in
+ ½.V
C
V
C
(t
1
) = V
in
- ½.V
C
V
m1
(t
0
) = V
in
+ V
O
+ ½.V
C
V
m1
(t
1
) = V
in
+ V
O
- ½.V
C
V
s
(t
0
) = 0 V
s
(t
1
) = 0
Analisando o circuito apresentado na Figura 3.4, e considerando as condições iniciais
relacionadas anteriormente, são obtidas as seguintes equações:
(
)
0tvV
Linin
=
(3.25)
(
)
(
)
0tvtv
LmC
=
+
(3.26)
()
(
)
[
]
dt
tid
.Ltv
in
inLin
=
(3.27)
()
(
)
[
]
dt
tid
.Ltv
Lm
mLm
=
(3.28)
()
(
)
[
]
dt
tvd
.Cti
C
EC
=
(3.29)
(
)
(
)
titi
LmC
=
(3.30)
(
)
(
)
(
)
tititi
Lmins
+
=
(3.31)
107
- A equação da corrente através do indutor de entrada (L
in
):
Substituindo a equação (3.25) em (3.27) e aplicando a transformada de Laplace,
obtém-se (3.32):
() ( )
[]
0tIss.IL
s
V
0ininin
in
=
(3.32)
Aplicando a transformada inversa de Laplace em (3.32), resulta na equação (3.33) para
o cálculo de i
in
(t).
()
t.
L
V
tIti
in
in
0inin
+=
(3.33)
- A equação da corrente através do indutor de saída (L
m
):
Substituindo (3.29) em (3.30).
()
(
)
[
]
dt
tvd
.Cti
C
ELm
=
(3.34)
Substituindo (3.34) em (3.26), e posteriormente a equação resultante em (3.28), resulta
em:
()
(
)
[
]
2
Lm
2
EmLm
dt
tid
.C.Lti =
(3.35)
Aplicando a transformada de Laplace em (3.35).
() () ( )
(
)
[
]
=
dt
tid
s.tis.si.C.Lsi
0Lm
0Lm
2
LmEmLm
(3.36)
Manipulando devidamente a equação (3.36) e aplicando a transformada inversa de
Laplace obtém-se i
Lm
(t).
() () ()
t.cos.tIt.sen.
Z
tV
ti
10Lm1
1
0C
Lm
ω+ω=
(3.37)
Onde, V
C
t
0
e I
Lm
t
0
são condições iniciais. A impedância característica Z
1
e a
freqüência angular ω
1
são dadas pelas equações (3.38) e (3.39), respectivamente.
E
m
1
C
L
Z =
(3.38)
Em
1c1
C.L
1
f..2 =π=ω
(3.39)
Onde, f
c1
é a freqüência de ressonância entre L
m
e C
E
.
108
- A equação da tensão sobre o capacitor de acumulação (C
E
):
Substituindo (3.37) em (3.28) e manipulando devidamente a equação e posteriormente
levando em (3.26), obtém-se v
C
(t) pela seguinte equação.
()
(
)
(
)
t.sen.Z.tIt.cos.tVtv
110Lm10CC
ω
ω
=
(3.40)
- Simplificando as equações para o cálculo de i
Lm
(t) e v
C
(t):
Conforme discutido anteriormente o efeito da ondulação V
C
(tensão sobre o
capacitor C
E
) é desprezado no cálculo da corrente através do indutor L
m
, durante esta etapa.
Assim, considera-se que a tensão sobre o indutor L
m
é constante igual a V
in
(valor médio de
v
in
(t) durante o período de comutação). Portanto aplicando a transformada de Laplace na
equação (3.28), resulta em:
() ( )
[]
0tIss.IL
s
V
0LmLmm
in
=
(3.41)
Aplicando a transformada inversa de Laplace em (3.41), resulta na equação (3.42)
simplificada para o cálculo de i
Lm
(t), conforme destacado através da Figura 3.6.
()
t.
L
V
tIti
m
in
0LmLm
+=
(3.42)
Através de equação (3.30), substitui-se (3.42) em (3.29) e obtém-se v
C
(t). Em seguida,
aplicando-se a transformada de Laplace, resulta em:
()
s
tV
s.C
tI
C.L.s.2
V.2
sv
0C
2
E
0Lm
Em
3
in
C
+=
(3.43)
Aplicando a transformada inversa de Laplace na (3.43), obtém-se a equação
simplificada para o cálculo de v
C
(t).
()
2
Em
in
E
0Lm
0CC
t.
C.L.2
V
t.
C
tI
tVtv =
(3.44)
3.4.2.2 - Segunda etapa de funcionamento: t
OFF
(t
1,
t
2
)
Condições iniciais em t=t
1
: Condições finais em t=t
2
:
I
in
(t
1
) = I
in
t
1
I
in
(t
2
) = I
in
t
0
I
Lm
(t
1
) = I
Lm
t
1
I
Lm
(t
2
) = I
Lm
t
0
I
C
(t
1
) = I
Lm
t
1
I
C
(t
2
) = I
Lm
t
0
I
m1
(t
1
) = I
in
t
1
+ I
Lm
t
1
I
m1
(t
2
) = I
in
t
0
+ I
Lm
t
0
109
I
s
(t
1
) = 0 I
s
(t
2
) = 0
V
Lin
(t
1
) = V
O
V
Lin
(t
2
) = V
O
V
Lm
(t
1
) = V
O
V
Lm
(t
2
) = V
O
V
C
(t
1
) = V
in
- ½.V
C
V
C
(t
2
) = V
in
+ ½.V
C
V
m1
(t
1
) = 0 V
m1
(t
2
) = 0
V
s
(t
1
) = V
in
+ V
O
- ½.V
C
V
s
(t
2
) = V
in
+ V
O
+ ½.V
C
Através do circuito apresentado na Figura 3.6, e considerando as condições iniciais
relacionadas anteriormente, são obtidas as equações iniciais referentes à segunda etapa de
funcionamento.
(
)
(
)
0VtvtvV
OCLinin
=
+
(3.45)
(
)
0tvV
LmO
=
+
(3.46)
()
(
)
[
]
dt
tid
.Ltv
in
inLin
=
(3.47)
()
(
)
[
]
dt
tid
.Ltv
Lm
mLm
=
(3.48)
()
(
)
[
]
dt
tvd
.Cti
C
EC
=
(3.49)
(
)
(
)
titi
inC
=
(3.50)
(
)
(
)
(
)
tititi
Lminm1
+
=
(3.51)
- A equação da corrente através do indutor de saída (L
m
):
Substituindo a equação (3.48) em (3.46) e aplicando a transformada de Laplace,
obtém-se (3.52):
() ( )
[]
0tIss.IL
s
V
1LmLmm
O
=
(3.52)
Aplicando a transformada inversa de Laplace em (3.52), resulta na equação (3.53) para
o cálculo de i
Lm
(t).
()
t.
L
V
tIti
m
O
1LmLm
=
(3.53)
110
- A equação da tensão sobre o capacitor de acumulação (C
E
):
Substituindo (3.50) em (3.47).
()
(
)
[
]
dt
tid
.Ltv
C
inLin
=
(3.54)
Substituindo (3.45) e (3.49) em (3.54) e aplicando a transformada de Laplace, obtém-
se:
()
()
() ( )
()
[]
=
+
dt
tVd
s.tVs.sV.C.L
s
VV
sV
1C
1C
2
CEin
inO
C
(3.55)
Fazendo as manipulações necessárias, resulta em:
() ( )
[]
(
) ()
s
VV
s
.
.C
tI
s
s
.VVtVsV
Oin
2
2
2
2E
1in
2
2
2
inO1CC
+
ω+
ω
ω
+
ω+
+=
(3.56)
Aplicando a transformada inversa de Laplace em (3.56).
() ( )
(
)
(
)( )
Oin121in2inO1CC
VVt.sen.Z.tIt.cos.VVtVtv +
ω
+
ω
+=
(3.57)
Na equação (3.57), V
C
t
1
e I
in
t
1
são condições iniciais. A impedância característica Z
2
e
a freqüência angular ω
2
são dadas pelas equações (3.58) e (3.59).
E
in
2
C
L
Z =
(3.58)
Ein
2c2
C.L
1
f..2 =π=ω
(3.59)
Onde, f
c2
é a freqüência de ressonância entre L
in
e C
E
.
- A equação da corrente através do indutor de entrada (L
in
):
Substituindo (3.49) e desenvolvendo a equação, a corrente i
C
(t) é obtida. Finalmente,
através da igualdade dada por (3.50), obtém-se i
in
(t) pela seguinte equação.
() ( )
(
)
()
t.sen.
Z
VVtV
t.cos.tIti
2
2
inO1C
21inin
ω
+
ω=
(3.60)
- Simplificando as equações para o cálculo de i
Lm
(t) e v
C
(t):
Analogamente à primeira etapa, o efeito da ondulação V
C
(tensão sobre o capacitor
C
E
) não é considerado no cálculo da corrente através do indutor L
in
. Deste modo, a tensão
sobre o indutor L
in
se mantém constante e igual à V
O
durante o período de comutação.
Portanto aplicando a transformada de Laplace na equação (3.47), resulta em:
111
() ( )
[]
0tIss.IL
s
V
1LinLinin
O
==
(3.61)
Fazendo a transformada inversa de Laplace em (3.61), resulta na equação (3.62)
simplificada para o cálculo de i
in
(t), conforme destacado através da Figura 3.6.
()
t.
L
V
tIti
in
O
1inin
+=
(3.62)
Através de equação (3.50), substitui-se (3.62) em (3.49) e obtém-se v
C
(t). Em seguida,
aplicando-se a transformada de Laplace:
()
s
tV
s.C
tI
C.L.s.2
V.2
sv
1C
2
E
1in
Ein
3
O
C
++=
(3.63)
Aplicando a transformada inversa de Laplace na (3.63), obtém-se a equação
simplificada para o cálculo de v
C
(t).
()
2
Ein
O
E
1in
1CC
t.
C.L.2
V
t.
C
tI
tVtv +=
(3.64)
A escolha dos valores das indutâncias de entrada (L
in
) e de saída (L
m
) e da
capacitância de acumulação (C
E
) depende da ondulação (ripple) máxima e/ou mínima exigida
para as correntes i
in
(t) e i
Lm
(t) e tensão v
C
(t).
Ao final da primeira etapa em t=t
1
, calcula-se a ondulação das correntes i
in
(t) e i
Lm
(t), e
da tensão v
C
(t), conforme a seguir:
Onde:
01ON
ttt
=
(3.65)
Assim, através das figuras 3.6 e 3.7 e com as equações (3.33), (3.42) e (3.44), obtém-
se respectivamente as ondulações I
in
, I
Lm
e V
C
, e os valores de pico I
in
t
1
, I
Lm
t
1
e V
C
t
0
,
a
seguir.
ON
in
in
0in1inin
t.
L
V
tItII ==
(3.66)
ON
m
in
0Lm1LmLm
t.
L
V
tItII ==
(3.67)
2
ON
Em
in
ON
E
0Lm
1C0CC
t.
C.L.2
V
t.
C
tI
tVtVV +==
(3.68)
ON
in
in
0in1in
t.
L
V
tItI +=
(3.69)
112
ON
m
in
0Lm1Lm
t.
L
V
tItI +=
(3.70)
2
V
VtV
C
in0C
+=
(3.71)
As indutâncias de entrada e saída (L
in
e L
m
) e a capacitância de acumulação (C
E
)
podem ser determinadas manipulando (3.66), (3.67) e (3.68).
ON
in
in
in
t.
I
V
L
=
(3.72)
ON
Lm
in
m
t.
I
V
L
=
(3.73)
+
=
2
ON
m
in
ON0Lm
C
E
t.
L.2
V
t.tI.
V
1
C
(3.74)
Observa-se que as ondulações (I
in
, I
Lm
e V
C
), valores de pico (I
in
t
1
, I
Lm
t
1
e V
C
t
0
) e
os valores de L
in
, L
m
e C
E
podem também ser calculados através equações (3.53), (3.62) e
(3.64), referentes à segunda etapa.
O valor de C
E
é definido de maneira que qualquer troca de energia entre “C
E
e L
in
”, ou
“C
E
e L
m
”, ou “C
E
e L
eqS
”, ou “C
E
e L
eqP
” ocorra em uma freqüência de ressonância (f
c
) que
atenda a seguinte restrição:
10
f
f f.10
smín
cr
(3.75)
Sendo que:
mineqS
LLL
+
=
(3.76)
min
min
eqP
LL
L.L
L
+
=
(3.77)
Onde:
L
eqS
: Indutância equivalente série entre L
in
e L
m
;
L
eqP
: Indutância equivalente paralelo entre L
in
e L
m
;
f
c
: Freqüência de ressonância entre C
E
e L
eqS
, e entre C
E
e L
eqP
;
f
r
: Freqüência da rede de alimentação;
f
smín
: Freqüência mínima de operação do SEPIC
1
.
Desenvolvendo (3.75) resulta em:
113
eqS
2
r
2
E
eqP
2
smín
2
L.f..400
1
C
L.f.
25
π
π
(3.78)
O objetivo de toda essa análise é viabilizar uma metodologia de projeto para o
conversor SEPIC
1
. Para isto, é necessário definir um período funcionamento (a partir de um
instante inicial t
0
) para que todos os elementos do circuito sejam projetados. Entretanto, uma
vez que tensão de entrada {v
in
(t)} varia de forma senoidal (de 0 até 180 V), as ondulações
(I
in
, I
Lm
e V
C
) e a freqüência de comutação (f
s
) também variam. Portanto, a escolha do
instante inicial t
0
de operação requer um estudo preliminar da modulação por histerese
empregada no controle do SEPIC
1
, realizado a seguir.
3.5 – Considerações Gerais sobre a Modulação por Histerese
Uma modulação por Histerese totalmente digital é caracterizada por usar somente as
amostras das variáveis controladas para determinar os estados ON (Em condução) e OFF
(Bloqueado) do interruptor controlado. Esta técnica tem sido bastante aplicada na sua forma
padrão, ou seja, o interruptor é imediatamente comandado para a condução sempre que a
corrente controlada atingir o limite inferior da banda de histerese, e é comandado para o
bloqueio sempre que corrente controlada atingir o limite superior da banda histerese. No
entanto, devido ao processo de aquisição, através dos conversores A/D (Analogical Digital
Converter), a corrente digitalizada não comuta exatamente nos limites inferior e superior da
banda de histerese (B
H
), tendo em vista que a decisão de comandar o interruptor ao bloqueio
ou à condução acontece somente após a aquisição do dado, fato que não ocorre na modulação
por histerese analógica, conforme ilustração mostrada na Figura 3.8.
114
B
H
Limite inferior
h(n)h(n-6)
h(n+6)
......
B
H
Limite inferior
Limite superior
Limite superior
I
L
I
L
Valores amostrados
Modulação por histerese digital
Modulão por histerese analógica
Figura 3.8 – Modulação por histerese analógica e digital, na sua forma convencional.
Assim, além da variação natural da freqüência (comportamento intrínseco da
modulação por histerese), ocorrem variações adicionais e imprevisíveis da freqüência em
função do processo de aquisição. Devido a estes inconvenientes, as técnicas modulação
PWM têm sido comumente mais utilizadas em implementações com controle digital, mesmo
oferecendo uma resposta dinâmica mais lenta devido aos atrasos intrínsecos da modulação e
da resposta do regulador de corrente. Portanto, fazendo uma síntese do que foi comentado
anteriormente, os dois maiores problemas que podem afetar o bom desempenho da modulação
por histerese totalmente digital são:
1) Variações adicionais da freqüência de comutação em função do processo de
aquisição. As variações adicionais de freqüência podem ser minimizadas empregando-se
soluções tecnológicas ou de controle. As soluções tecnológicas implicam no uso de
conversores A/D de capacidade elevada de processamento, com freqüência de aquisição,
provavelmente acima de 1,0 MHz. Em um sistema de aquisição serial, por exemplo, isto
implicaria em sinais de comando para transferência de bits para o FPGA, trafegando em uma
115
freqüência acima de 10MHz, requerendo cuidados adicionais no circuito de condicionamento.
Uma outra saída seria implementar um sistema de aquisição paralela, onde todos os bits são
transferidos para o FPGA em um único pulso de clock. Entretanto, isto aumentaria a
quantidade de conexões entre os sistemas de aquisição (A/D) e os barramentos de entrada do
FPGA, limitando a possibilidade de aquisição de outros sinais e/ou leitura de sensores. As
soluções de controle [45] são algoritmos que não requerem uma freqüência de aquisição
muito elevada e conseguem através da análise das derivadas de subida e descida da corrente
controlada estimar com uma certa precisão o instante em que a corrente irá atingir os limites
inferior ou superior da banda de histerese (B
H
). Assim, a decisão de comandar o interruptor
para a condução ou bloqueio não depende exclusivamente do dado amostrado e sim da
tendência de crescimento ou decrescimento da acorrente.
2) Variação natural da freqüência de comutação, intrínseca da modulação por
histerese. Neste caso, também existem algoritmos bastante simples que possibilitam escolher
a freqüência de comutação e ainda mantê-la constante [46]. Detalhes desta técnica são
apresentados na Figura 3.9 e comentados na seqüência.
B
H
(m+1)
S
p
(m)
B
H
(m)
T
s
(m+1)
T
s
(m+1)-H
x
H
x
T
s
(m)
H
y
T
ON
(m)
T
OFF
(m)
S
n
(m)
S
p
(m+1)
S
n
(m+1)
Limite inferior
Limite superior
I
L
Figura 3.9 – Modulação por histerese digital, com a estabilização da freqüência.
Na Figura 3.9, são observados dois períodos de comutação subseqüentes e distintos
{T
s
(m) e T
s
(m+1)} de uma corrente I
L
sendo modulada por histerese. Ao final do período
T
s
(m), o controle constata que o período atual T
s
(m) é menor do que o período desejado
T
s
(m+1). Neste mesmo instante, é calculada uma nova largura de banda de histerese B
H
(m+1)
que resultará um período igual à T
s
(m+1).
Onde:
116
T
s
(m): Período de comutação atual;
B
H
(m): Banda de histerese (período atual);
T
ON
(m): Intervalo de tempo de condução do interruptor (período atual);
T
OFF
(m): Intervalo de tempo de bloqueio do interruptor (período atual);
S
p
(m): Derivada de subida da corrente I
L
(período atual);
S
n
(m): Derivada de descida da corrente I
L
(período atual);
T
s
(m+1): Período de comutação posterior;
B
H
(m+1): Banda de histerese (período posterior);
H
x
: Variável de correção para B
H
(m+1);
H
y
: Metade da diferença entre B
H
(m) e B
H
(m+1);
S
p
(m+1): Derivada de subida da corrente I
L
(período posterior);
S
n
(m+1): Derivada de descida da corrente I
L
(período posterior);
I
L
: Corrente modulada por histerese.
Para fins de simplificação e sem comprometer o desempenho desta técnica, os autores
[46] consideram que o sistema está em estado de equilíbrio (A tensão média no indutor é
nula) durante cada período de comutação. Além disso, as derivadas de subida e descida da
corrente I
L
permanecem iguais nos períodos T
s
(m) e T
s
(m+1). Portanto, S
p
(m) = S
p
(m+1) e
S
n
(m) = S
n
(m+1). Analisando a Figura 3.9, obtém-se as seguintes equações:
()
(
)
()
mT
mB
mS
ON
H
p
=
(3.79)
()
(
)
()
mT
mB
mS
OFF
H
n
=
(3.80)
(
)
(
)
2
mB1mB
H
HH
y
+
=
(3.81)
()
mS
H
H
p
y
x
=
(3.82)
Relacionando os triângulos mostrados na Figura 3.9, e manipulando as equações
(379), (3.80), (3.81) e (3.82), resulta na equação (3.83) que calcula o valor da banda de
histerese B
H
(m+1) a ser imposta no próximo período de comutação T
s
(m+1) desejado. A
variável H
x
serve para a correção de B
H
(m+1), garantindo que o próximo período de
comutação resultante seja igual à T
s
(m+1).
117
()
(
)
()
(){}
xs
s
H
H
H1mT.
mT
mB
1mB ++=+
(3.83)
É importante salientar que as duas técnicas [45 e 46] mencionadas aqui são
adaptativas, onde as ações são definidas no período de comutação atual T
s
(m), mas a
execução destas ações só ocorre no período posterior T
s
(m+1). Para que a técnica de
estabilização da freqüência (Figura 3.9) tenha um desempenho satisfatório, é necessário que o
problema da variação adicional da freqüência, causado pelo processo de aquisição, seja
resolvido. Com isso, garante-se uma modulação PWM preservando o comportamento
dinâmico da modulação por histerese.
Com relação ao retificador híbrido, cabe esclarecer que estes algoritmos não foram
implementados para o controle da corrente de entrada do conversor SEPIC
1
. No entanto,
propôs-se uma pequena alteração na modulação por histerese convencional que minimiza um
pouco a variação da freqüência de comutação em função do processo de aquisição, discutida a
seguir.
3.6 – Análise Teórica da Modulação por Histerese Digital Proposta
Nesta proposta, o limite superior da banda de histerese é eliminado e o controle do
ripple e da variação da freqüência da corrente de entrada i
in
(t) é realizado impondo-se o
intervalo de condução (t
ON
) de valor fixo. O intervalo de bloqueio (t
OFF
) é variável e
conseqüentemente a freqüência de comutação também é variável. Através da Figura 3.10,
tem-se uma visão geral desta técnica de controle, evidenciando a modulação da corrente i
in
(t)
sobre o sinal de referência (Limite inferior).
118
0
t
p
I
T
r
=8,333ms
t
ω.
6
π
π2
π
6
.5 π
2
π
Vp
I
()
.ti
in
ω
Limite inferior
Valor médio instantâneo
Figura 3.10 – Modulação por histerese com o intervalo t
ON
fixo.
A seguir, serão apresentadas as análises de variação da freqüência de comutação e da
ondulação da corrente de entrada i
in
(t) (Figura 3.10) do conversor SEPIC
1
, considerando o
sistema em estado de equilíbrio. Portanto, conforme ilustrada na Figura 3.11, considera-se
que a corrente de referência (Limite inferior = I
in
t
0
) mantém o seu valor constante durante
todo o período de comutação simplificando a análise.
T
s
t
ON
t
OFF
.t
L
V
-ti
in
O
1in
.t
L
V
in
in
in
I
0in
ti
()
ti
in
Limite inferior
1in
ti
in
I
Figura 3.11 – Detalhe da modulação por histerese com o intervalo t
ON
fixo.
119
Na Figura 3.11, a evolução de i
in
(t) no intervalo t
ON
é definida pela (3.33) e no
intervalo t
OFF
pela (3.62), sendo reescritas a seguir.
Intervalo t
ON
:
()
t.
L
V
tIti
in
in
0inin
+= (3.84)
Intervalo t
OFF
:
()
t.
L
V
tIti
in
O
1inin
= (3.85)
Através da equação (3.84), em t=t
0
, i
in
(t
0
) = I
in
t
0
, e em t=t
1
, i
in
(t
1
) = I
in
t
1
, calculada pela
(3.86).
ON
in
in
0in1in
t.
L
V
tItI +=
(3.86)
Em t=t
2
, pela (3.85), calcula-se i
in
(t
2
) = I
in
t
0
, na seqüência
.
OFF
in
O
1in0in
t.
L
V
tItI =
(3.87)
A freqüência de operação do SEPIC
1
é determinada pela (3.88).
OFFON
s
tt
1
f
+
=
(3.88)
Substituindo (3.87) em (3.86), resulta em:
O
in
ONOFF
V
V
.tt =
(3.89)
Substituindo (3.89) em (3.88), tem-se a equação (3.90), na seqüência:
+
=
O
in
ON
s
V
V
1t
1
f
(3.90)
Na Figura 3.12, plotando a equação (3.90), são obtidos ábacos mostrando a variação
da freqüência de comutação (f
s
) em função da tensão senoidal de entrada retificada (V
in
),
variando de 0 a 180V,considerando-se alguns valores específicos de t
ON
e uma tensão de saída
(V
O
) com o valor médio de 297V. Analisando a Figura 3.12, verifica-se que a freqüência de
comutação varia de forma não-linear, entretanto, a faixa de variação da freqüência varia
linearmente em função de t
ON
. Dentre as quatro curvas analisadas na Figura 3.12, a faixa de
variação máxima da freqüência de comutação é igual 23,6 kHz, correspondente ao intervalo
t
ON
=16us.
120
0 30 V 60 V
90 V
120 V 150 V
180 V
in
v
20 kHz
28 kHz
36 kHz
52 kHz
44 kHz
60 kHz
68 kHz
s
f
kHz5,62f
s
=
kHz9,38f
s
=
us16t
ON
=
us30t
ON
=
us20t
ON
=
us24t
ON
=
Figura 3.12 – Variação da freqüência de comutação para valores específicos de t
ON
.
Analogamente, considerando a equação (3.66), a ondulação da corrente de entrada do
SEPIC
1
é verificada através da Figura 3.13, variando em função da tensão senoidal de entrada
retificada (V
in
), para valores específicos de t
ON
e uma indutância de entrada (L
in
) com o valor
5mH.
0 30V 60V 90V 120V 150V
180V
in
v
0
0,2 A
0,4 A
0,8 A
0,6 A
1,0 A
1,2 A
in
I
A1,08I
in
=
A0,58I
in
=
us16t
ON
=
us30t
ON
=
us24t
ON
=
us20t
ON
=
,
Figura 3.13 – Variação da ondulação da corrente de entrada para valores específicos de t
ON
.
É observado, através da Figura 3.13, que a ondulação (I
in
) da corrente de entrada do
SEPIC
1
varia linearmente tanto em função da variação de V
in
quanto em função de t
ON
.
121
3.7 – Balanço de Energia no SEPIC
1
Considerando o conversor operando em estado de equilíbrio, no intervalo “t
on
(primeira etapa de funcionamento) ocorre o armazenamento de energia nos indutores L
in
e L
m
,
e no intervalo “t
off
” (segunda etapa de funcionamento) essa energia é transferida para a carga.
Desse modo, admitindo a inexistência de perdas no conversor durante o período de
comutação, tem-se a seguintes relações [49]:
()
(
)
OFFLminOONLminin
t.II.Vt.II.V
+
=
+
(3.91)
() ()
0dt.ti.
T
1
dt.ti.
T
1
I
OFFON
t
0
in
s
t
0
Lm
s
C
=+=
(3.92)
Como o valor médio (I
C
) da corrente i
C
(t) é nulo, então se define que:
m1_InstLm
II
=
(3.93)
Onde:
I
in
: Valor médio de i
in
(t) durante o período de comutação;
I
Lm
: Valor médio de i
Lm
(t) durante o período de comutação.
I
m1_Inst
: Valor médio instantâneo da corrente de saída do conversor SEPIC
1
.
Da equação (3.91) relacionam-se às tensões de saída (V
O
) e de entrada (V
in
) pelo
ganho estático (q) no período T
s
.
654,1
2.V
6.3.V
V
V
t
t
q
ef
ef
in
O
OFF
ON
π
===
(3.94)
Observa-se o ganho estático (q
CA
) no período de rede é dado pela seguinte equação:
34,2
V
6.3.V
V
V
q
ef
ef
ef
O
π
==
(3.95)
Desenvolvendo (3.92) obtém-se a relação direta entre as correntes de entrada (I
in
) e
saída (I
Lm
).
654,1
V
V
t
t
I
I
in
O
OFF
ON
Lm
in
==
(3.96)
A equação (3.96) refere-se ao conversor SEPIC operando de forma autônoma onde a
corrente transferida para a carga interfere diretamente no valor da tensão de saída. Entretanto,
o conversor SEPIC
1
analisado neste trabalho faz parte de um contexto onde a relação entre as
122
tensões de entrada e saída é imposta através do retificador não controlado (Retif-1) e possui
um valor fixo {conforme equações (3.94) e (3.95)}, independente da potência e das correntes
processadas. Portanto, a relação definida pela equação (3.96) não pode ser aplicada ao
conversor SEPIC
1
, tendo em vista que o ganho estático analisado pela relação das correntes
varia em função do parâmetro de controle “K”.
No entanto, sabendo-se que o formato da corrente i
Lm
(ω.t) é o mesmo da corrente de
entrada i
in
(ω.t) (no período de rede), diferenciando-se apenas na amplitude, e que o valor
médio (I
LmMD
) de i
Lm
(ω.t) é igual ao valor médio da corrente de saída {I
m1
, calculado pela
(3.18)}, também no período de rede, foi possível descrever o comportamento de i
Lm
(ω.t)
através da seguinte análise:
Sendo que:
m1LmMD
II
=
(3.97)
Foi constatado que as relações matemáticas entre o valor médio de i
in
(ω.t) pelo seu
valor eficaz e valores de pico, resultam em constantes normalizadas para um valor específico
de “K”, descritas a seguir:
O valor eficaz {equação (3.4)} pelo valor médio {equação (3.11)}:
inMd
inef
inef_Norm
I
I
I =
(3.98)
O valor de pico {equação (3.2)}, quando ω.t=π/6, pelo valor médio {equação (3.11)}:
inMd
p
p_Norm
I
I
I =
(3.99)
O valor de i
in
(ω.t) {equação (3.3)}, quando ω.t=π/2, pelo valor médio {equação
(3.11)}:
inMd
Vp
Vp_Norm
I
I
I =
(3.100)
Verificou-se também, que existe uma relação constante entre o valor médio de i
in
(ω.t)
pelo valor médio de i
Lm
(ω.t), para um valor específico de “K”, a seguir:
inMd
LmMd
_Norm
LmMd
I
I
I =
(3.101)
Onde:
I
inef_Norm
: Valor normalizado de I
a2ef
{equação (3.4)};
I
p_Norm
: Valor normalizado de I
p
{equação (3.2)};
123
I
Vp_Norm
: Valor normalizado de I
Vp
{equação (3.3)};
I
inMD
: Valor médio de i
in
(ω.t) no período de rede {equação (3.11);
I
LmMD
: Valor médio de i
Lm
(ω.t) no período de rede;
I
LmMD Norm
: Valor normalizado de I
LmMD
.
Portanto, com as equações (3.98), (3.99), (3.100) e (3.101) pode-se estabelecer que:
inMd
inef
LmMd
Lmef
I
I
I
I
=
(3.102)
inMd
p
LmMd
LmP
I
I
I
I
=
(3.103)
inMd
Vp
LmMd
LmVp
I
I
I
I
=
(3.104)
Na seqüência, substituindo (3.101) em (3.102), (3.103) e (3.104), obtêm-se as
equações para o cálculo do valor eficaz (I
Lmef
) e de pico {I
LmP
e I
LmVp
)} da corrente i
Lm
(ω.t) no
período de rede, respectivamente , a seguir.
LmMd_NorminefLmef
I.II
=
(3.105)
LmMd_NormpLmP
I.II
=
(3.106)
LmMd_NormVpLmVp
I.II
=
(3.107)
Na seqüência, através da Figura 3.14, são apresentadas as formas de onda teóricas da
tensão de entrada v
in
(ω.t), corrente de entrada i
in
(ω.t) e corrente de saída i
Lm
(ω.t), no período
de rede.
124
0
(
)
.tv
in
ω
t
p
V
T
r
=8,333ms
tω.
6
π
π2
π
6
.5 π
2
π
(
)
.ti
Lm
ω
LmP
I
LmVp
I
(
)
.ti
in
ω
p
I
Vp
I
Figura 3.14 – Formas de onda da tensão e corrente de entrada e corrente de saída do SEPIC
1
.
3.8 – Refinamento das Equações para o Projeto do SEPIC
1
Neste tópico, as equações obtidas para o conversor SEPIC
1
serão simplificadas, tanto
para a análise do funcionamento em um período genérico de comutação quanto para o projeto
do conversor operando na condição de esforço máximo.
3.8.1 – Conversor SEPIC
1
Operando em um Período Genérico de Comutação T
s
,
com o parâmetro K < 2:
As correntes i
in
(t) e i
Lm
(t), e a tensão v
C
(t) {relacionadas nas equações (3.66), (3.67) e
(3.68)} são respectivamente definidas em função dos valores percentuais I
in
%, I
Lm
% e
V
C
% e dos valor médios instantâneos I
in
, I
Lm
e V
C
, no período de comutação T
s
, a seguir.
125
Análise da Corrente i
in
(t) e projeto do indutor L
in
:
1) Cálculo da ondulação (ripple):
100
%I
.II
in
inin
=
(3.108)
2) Determinando a indutância de entrada:
ON
in
in
in
t.
%I
100.V
L
=
(3.109)
3) O valor inicial, em t=t
0
:
=
200
%I
1.ItI
in
in0in
(3.110)
4) O valor máximo, em t=t
1
:
+=
200
%I
1.ItI
in
in1in
(3.111)
5) O valor médio no período de rede {definido pela equação (3.11)}:
()
[]
()
{}
π
+
επ
=
3
tω..2tω.sen1tω.cos.32K..
.
I
I
1Retif
inMd
(3.112)
6) O valor eficaz no período de rede {definido pela equação (3.4)}:
()
KF.
π.
I
I
2
1-Retif
inef
ε
=
(3.113)
Análise da Corrente i
Lm
(t) e projeto do indutor L
m
:
1) Cálculo da ondulação (ripple):
100
%I
.II
Lm
LmLm
=
(3.114)
2) Determinando a indutância de saída:
ON
Lm
in
m
t.
%I
100.V
L
=
(3.115)
3) O valor inicial, em t=t
0
:
=
200
%I
1.ItI
Lm
Lm0Lm
(3.116)
4) O valor máximo, em t=t
1
:
126
+=
200
%I
1.ItI
Lm
Lm1Lm
(3.117)
5) O valor médio no período de rede {definido pela equação (3.18)}:
()
ef
LmMd
V
P
.
KG
6
3.
54
2π.
I
=
(3.118)
6) Substituindo-se a equação (3.101) em (3.4) calcula-se o valor eficaz:
()
KF.
π.
I
.II
2
1-Retif
_Norm
LmMdLmef
ε
=
(3.119)
Análise da tensão v
C
(t) e projeto da capacitância C
E
:
1) Cálculo da ondulação (ripple):
100
%V
.VV
C
inC
=
(3.120)
2) Determinando a capacitância de acumulação:
+
=
2
ON
m
in
ON0Lm
inC
E
t.
L.2
V
t.tI.
V%.V
100
C
(3.121)
3) Restrição para o valor de C
E
{(definida pela (3.78)}:
eqS
2
r
2
E
eqP
2
smín
2
L.f..400
1
C
L.f.
25
π
π
(3.122)
4) O valor máximo de v
C
(t), em t=t
0
:
+=
200
%V
1.VtV
C
in0C
(3.123)
Esforços de tensão e de corrente através do interruptor controlado S
1
:
1) A equação da corrente i
s
(t) no intervalo t
ON
(Figura 3.6) é dada a seguir:
t.
L
V
titi)t(i
eqP
in
0Lm0ins
++=
(3.124)
Pela relação linear existente entre i
in
(t) e i
Lm
(t), descrita pela equação (3.101),
reescreve-se (3.124) substituindo-se (3.110) e (3.116), a seguir:
t.
L
V
200
%I
1.I
200
%I
1.I)t(i
eqP
inLm
_Norm
LmMd
in
ins
+
+
=
(3.125)
2) O valor máximo de corrente, em t=t
1
{Somando (3.111) com (3.117)}:
127
++
+=
200
%I
1.I
200
%I
1.II
Lm
_Norm
LmMd
in
insPico
(3.126)
3) O valor máximo de tensão, em t=t
2
:
O
C
insPico
V
200
%V
1.VV +
+=
(3.127)
4) Simplificando a equação de i
s
(t), para o cálculo do valor eficaz e médio:
Com a finalidade de facilitar o cálculo do valor eficaz e médio da corrente i
s
(ω.t), no
período de rede, o ripple de i
s
(t) (no período de comutação) foi desprezado, conforme Figura
3.15.
.t
L
V
eq
in
()
ti
s
t
(
)
_Norm
LmMd0in
ItI +1.
ON
t
OFF
t
s
T
0
0
t
1
t
2
t
ON
t
OFF
t
s
T
0
0
t
1
t
2
t
(
)
ti
s
(
)
_Norm
LmMdin
II +1.
(
)
_Norm
LmMd1in
ItI +1.
Forma de onda
simplificada
Forma de
onda real
Figura 3.15 – Detalhe da forma de onda da corrente através do interruptor controlado.
Pela forma de onda simplificada de i
s
(t), calcula-se o valor eficaz (I
sef
), a seguir:
()
dt.I1.I.
T
1
I
ON
t
0
2
_Norm
LmMd
2
in
s
sef
+=
(3.128)
Resolvendo a integral (3.128) e substituindo a equação (3.90), resulta em:
()
O
in
_Norm
LmMdinsef
V
V
1
1
.I1.II
+
+=
(3.129)
Na equação (3.129), observa-se que o termo I
in
.(1+I
LmMd_Norm
) (constante no período
T
s
) é o valor eficaz total instantâneo (equivalente a: I
in
+I
Lm
). O coeficiente (C
oef
) do termo
I
in
.(1+I
LmMd_Norm
), que encontra dentro da raiz, indica o valor percentual da corrente eficaz
128
total I
in
.(1+I
LmMd_Norm
) que circula através do interruptor S
1
, no período T
s
. Durante o período
da rede de alimentação, este valor percentual (C
oef
) varia de forma senoidal (Figura 3.14), em
função de v
in
(ω.t), conforme a seguir:
(
)
()
=
2.π t .ω π:Se ,t.ωsen
πt .ω 0 :Se ,t.ωsen
..V2)t.ω(v
efin
(3.130)
Para V
in
=0, em (ω.t)=0, o coeficiente possui valor máximo (freqüência máxima de
operação do SEPIC
1
):
1C
oefMáx
=
(3.131)
Pela equação (3.90), obtém-se:
ON
smáx
t
1
f =
(3.132)
Para V
in
=V
p
, em (ω.t)=½.π, o coeficiente possui valor mínimo (freqüência mínima de
operação do SEPIC
1
):
79,0
6,01
1
C
oefMin
=
+
=
(3.133)
Analogamente:
ON
smín
t.6,1
1
f =
(3.134)
Portanto, utilizou-se o valor médio destes dois coeficientes:
9,0
2
79,01
C
oefMd
+
=
(3.135)
A freqüência media de operação é calculada a seguir:
ON
sMd
t.3,1
1
f =
(3.136)
Finalmente, faz-se a atualização da equação (3.129).
(
)
9,0.I1.II
_Norm
LmMdinsef
+
=
(3.137)
Da equação (3.137), como o termo “ (1+I
LmMd_Norm
).0,9 ” é sempre constante, pode-se
substituir o valor eficaz instantâneo I
in
,
da corrente i
in
(t), pelo valor eficaz I
inef
{definido pela
equação (3.113)}, da corrente i
in
(ω.t), no período de rede. Portanto, através da equação a
seguir é calculado o valor eficaz médio da corrente i
s
(ω.t) através interruptor controlado S
1
.
129
(
)
9,0.I1.II
_Norm
LmMdinefsefMd
+
=
(3.138)
Levando (3.113) em (3.138), obtém-se:
(
)
()
KF.
π.
I
.I1.9,0I
2
1-Retif
_Norm
LmMdsefMd
ε
+=
(3.139)
5) Aplicando o mesmo raciocínio para o cálculo do valor médio, multiplica-se a soma de
(3.112) com (3.118) pelo coeficiente médio:
(
)
LmMdinMdsMd
II.9,0I
+
=
(3.140)
Esforços de tensão e de corrente através do diodo de saída D
1
:
1) A equação da corrente i
m1
(t) no intervalo t
OFF
(Figura 3.6):
t.
L
V
titi)t(i
eqP
O
1Lm1in1m
+=
(3.141)
Com as mesmas considerações feitas para a equação (3.124), reescreve-se (3.141), na
seqüência:
t.
L
V
200
%I
1.I
200
%I
1.I)t(i
eqP
OLm
_Norm
LmMd
in
in1m
++
+=
(3.142)
2) O valor máximo da corrente i
m1
(t), em t=t
1
{definido pela equação (3.126)}:
sPicoPico1m
II
=
(3.143)
3) O valor máximo de tensão, em t=t
2
{definido pela equação (3.127)}:
sPicoPico1m
VV
=
(3.144)
4) O valor médio no período de rede {definido pela equação (3.18)}:
()
ef
m1
V
P
.
KG
6
3.
54
2π.
I
=
(3.145)
3.8.2 – Conversor SEPIC
1
Operando na Condição de Esforço máximo
De acordo com os ábacos apresentados nas Figuras 3.12 e 3.13, a freqüência mínima
de operação e a ondulação máxima das correntes através dos indutores L
in
e L
m
ocorrem
quando a tensão de entrada v
in
(t) possui valor máximo, caracterizando-se um ponto crítico de
funcionamento. Além disso, conforme discutido no Capítulo 2, o processamento máximo de
potência no SEPIC
1
ocorre para um parâmetro de controle K=2. Portanto, define-se para
efeito de projeto o período de comutação iniciando-se no instante t
0
= 4,167 ms (ângulo = π/2)
e o parâmetro “K=2”. Para esta condição de funcionamento, os valores médios instantâneos
130
da tensão de entrada (V
in
), tensão sobre o capacitor C
E
(V
C
), corrente de entrada (I
in
) e
corrente de saída (I
Lm
), são definidos na seqüência.
Para K=2, conforme Figura 3.16, observa-se que:
pVpin
III
=
=
(3.146)
LmPLmVpLm
III
=
=
(3.147)
Pela equação (3.1).
2.VVV
efpin
==
(3.148)
2.VVV
efpC
==
(3.149)
Pela (3.16), calcula-se I
Retif-1
:
ef
1-Retif
V
P
.2357,0I =
(3.150)
Então, substitui-se (3.150) em (3.3) e define-se (I
in
=I
Vp
):
ef
in
.V
P
.2357,0I
ε
=
(3.151)
Da equação (3.118), calcula-se I
LmMD
.
ef
LmMD
V
P
.
54
2π.
0,777.I =
(3.152)
131
0
t
p
V
T
r
=8,333ms
6
π
π2
π
6
.5 π
2
π
LmP
I
LmVp
I
p
I
Vp
I
(
)
.ti
in
ω
(
)
.ti
Lm
ω
(
)
.tv
in
ω
Figura 3.14 – Formas de onda da tensão e corrente de entrada e corrente de saída do SEPIC
1
.
Da equação (3.11), obtém-se I
inMD
.
ef
inMD
.V
P
.143,0I
ε
=
(3.153)
Levando (3.152) e (3.153) em (3.101), resulta em:
ε
=
.447,0I
_Norm
LmMd
(3.154)
Substituindo (3.151) e (3.154) em (3.106) define-se (I
Lm
=I
LmP
):
ef
Lm
V
P
.1054,0I =
(3.155)
Onde:
V
in
: Valor médio de v
in
(t) durante o período de comutação;
V
C
: Valor médio de v
C
(t) durante o período de comutação;
I
in
: Valor médio de i
in
(t) durante o período de comutação;
I
Lm
: Valor médio de i
Lm
(t) durante o período de comutação.
132
3.8.2.1 – Equações Finais para o Projeto do Conversor SEPIC
1
As principais equações descritas anteriormente (no tópico 3.8.1) foram simplificadas,
sendo necessário para o projeto apenas as seguintes variáveis de entrada. São elas:
I
in
%”,“I
Lm
%”,“V
C
%”, “P”, “V
ef
”, “ε” e “t
ON
”.
Análise da Corrente i
in
(t) e projeto do indutor L
in
:
1) Cálculo da ondulação (ripple) {definida pela equação (3.108)}:
ef
in
in
V.
P
.
100
%I
.2357,0I
ε
=
(3.156)
2) Determinando a indutância de entrada {definida pela equação (3.109)}:
ON
in
2
ef
in
t.
P%.I
V..600
L
ε
=
(3.157)
3) O valor máximo, em t=t
1
{definido pela equação (3.111)}:
+
ε
=
200
%I
1.
.V
P
.2357,0tI
in
ef
1in
(3.158)
4) O valor médio no período de rede {definido pela equação (3.112)}:
ef
inMd
.V
P
.143,0I
ε
=
(3.159)
5) O valor eficaz no período de rede {definido pela equação (3.113)}:
ef
inef
V.
P
.16,0I
ε
=
(3.160)
Análise da Corrente i
Lm
(t) e projeto do indutor L
m
:
1) Cálculo da ondulação (ripple) {definida pela equação (3.114)}:
=
ef
LmLm
V
P
%.I.
100
1054,0
I
(3.161)
2) Determinando a indutância de saída {definida pela equação (3.115)}:
P%.I.1054,0
t.V.2.100
L
Lm
ON
2
ef
m
=
(3.162)
3) O valor máximo, em t=t
1
{definido pela equação (3.117)}:
+=
200
%I
1.
V
P
.1054,0tI
Lm
ef
1Lm
(3.163)
133
4) O valor médio no período de rede {definido pela equação (3.118)}:
ef
LmMD
V
P
.
54
2π.
0,777.I =
(3.164)
5) O valor eficaz no período de rede {definido pela equação (3.119)}:
ef
Lmef
V
P
.07157,0I =
(3.165)
Análise da tensão v
C
(t) e projeto da capacitância C
E
:
1) Cálculo da ondulação (ripple) {definida pela equação (3.120)}:
100
%V
.V.2V
C
efC
=
(3.166)
2) Determinando a capacitância de acumulação {definida pela equação (3.121)}:
2
efC
ON
E
V%.V
P.t
.
2
54,10
C
=
(3.167)
3) Restrição para o valor de C
E
{definida anteriormente pela (3.122)}:
eqS
2
r
2
E
eqP
2
smín
2
L.f..400
1
C
L.f.
25
π
π
(3.168)
4) O valor máximo de v
C
(t), em t=t
0
:
+=
200
%V
1.V.2tV
C
ef0C
(3.169)
Esforços de tensão e de corrente através do interruptor controlado S
1
:
1) O valor máximo de corrente, em t=t
1
{definido pela (3.126)}:
+ε+
+
ε
=
200
%I
1..447,0
200
%I
1.
.V
P
.2357,0I
Lmin
ef
sPico
(3.170)
2) O valor máximo de tensão, em t=t
2
{definido pela (3.127)}:
π
+
+=
3.3
200
%V
1.V.2V
C
efsPico
(3.171)
3) O valor eficaz no período de rede {definido pela equação (3.139)}:
()
ε
ε+=
.V
P
..447,01.144,0I
ef
sefMd
(3.172)
4) O valor médio no período de rede {definido pela equação (3.140)}
134
ef
sMd
V
P
.064,0
143,0
.9,0I
+
ε
=
(3.173)
Esforços de tensão e de corrente através do diodo de saída D
1
:
1) O valor máximo da corrente i
m1
(t), em t=t
1
{definido pela equação (3.170)}:
+ε+
+
ε
==
200
%I
1..447,0
200
%I
1.
.V
P
.2357,0II
Lmin
ef
sPicoPico1m
(3.174)
2) O valor máximo de tensão, em t=t
2
{definido pela equação (3.171)}:
π
+
+==
3.3
200
%V
1.V.2VV
C
efsPicoPico1m
(3.175)
3) O valor médio no período de rede {definido pela equação (3.164)}:
ef
LmMDm1
V
P
.
54
2π.
0,777.II ==
(3.176)
135
3.9 – Conclusões
Neste capítulo, apresentou-se a análise completa do funcionamento do conversor
SEPIC
1
operando no modo de condução contínua e com modulação por histerese variável,
considerando-se os valores das tensões e correntes de entrada e saída previamente definidas
no estudo do retificador trifásico híbrido (desenvolvido no Capítulo 2).
Restringindo-se a faixa de valores para o capacitor de acumulação C
E
, foi possível
desconsiderar a influência da ondulação da tensão (V
C
) sobre as correntes de entrada e de
saída, resultando em equações simplificadas e comumente encontradas em literaturas de
Eletrônica de Potência [48].
Devido ao contexto no qual o conversor SEPIC
1
está inserido, o comportamento da
estrutura foi alterado, não podendo ser aplicada, por exemplo, a análise de ganho estático,
dependendo do ponto de operação (valor do parâmetro “K”) escolhido para o retificador
trifásico híbrido.
A técnica de modulação por histerese digital empregada para o controle do conversor
SEPIC
1
elimina a comparação da corrente controlada com limite superior, reduzindo-se as
variações adicionais da freqüência de comutação. Durante a análise verificou-se o ponto
crítico de operação do conversor ocorre quando a tensão de entrada atinge o seu valor
máximo, implicando na freqüência mínima de comutação e ondulação máxima das correntes
de entrada e de saída, sendo portanto definido como ponto de projeto.
Por fim, fez-se um refinamento das equações para o projeto do SEPIC
1
, considerando–
se a condição de esforço máximo da estrutura (parâmetro K=2), a serem utilizadas na
metodologia de projeto do retificador híbrido, no Capítulo 4.
136
CAPÍTULO 4
4 – Metodologia de Projeto para o Retificador Trifásico Híbrido
4.1 – Introdução
Neste capítulo apresenta-se a metodologia de projeto, passo a passo, para o retificador
trifásico híbrido com base nos equacionamentos e restrições discutidas através das análises
desenvolvidas nos capítulos 2 e 3, com as seguintes considerações:
1) No dimensionamento dos esforços de corrente através dos interruptores e fios
condutores do circuito será admitida uma queda de 15% (0,85.V
ef
) na tensão de entrada de
alimentação. Já no dimensionamento dos esforços de tensão sobre os interruptores do circuito
admite-se um acréscimo de 15% (1,15.V
ef
) na tensão de entrada de alimentação.
2) No projeto do retificador não controlado (Retif-1), considera-se que o mesmo esteja
processando a potência média total (P) entregue à carga {o retificador controlado (Retif-2)
encontra-se desligado}. Assim, a sua corrente média de saída (I
Retif-1
) corresponde à corrente
média total na carga (I
O
), conforme equação (4.1).
O
1-Retif
V
P
I =
(4.1)
Substituindo a equação (2.22) em (4.1), obtém-se:
ef
1-Retif
0,85.V
P
.
6.3
I
π
= (4.2)
Levando (4.2) em (2.135) e em (2.138), resultam nas equações para o cálculo das
correntes média e de pico, respectivamente, através de cada diodo da ponte retificadora
trifásica, a seguir.
ef
Dmd
0,85.V
P
.
6.9
I
π
= (4.3)
ef
2
DPico
0,85.V
P
.
6.9
I
π
=
(4.4)
3) O retificador monofásico SEPIC
1
é dimensionado para operar na condição de
esforço máximo. Neste caso, as correntes de entrada do retificador híbrido possuem DHT
137
nula (parâmetro de controle K=2). Considera-se também um deslocamento nulo entre as
componentes fundamentais das tensões e corrente de entrada do retificador híbrido
{cos(φ
1
)=0º)}, portanto o Fator de Potência (FP) é unitário. Assim, a determinação do
parâmetro auxiliar “ε” {calculado pela equação (2.16)} é simplificada {conforme equação
(4.5)}, tornando o seu valor igual ao rendimento teórico (η) estimado para o retificador
trifásico híbrido.
η
=
ε
(4.5)
4) A escolha do ponto de operação do retificador trifásico híbrido (escolha do valor da
DHT e do parâmetro K) deverá resultar em correntes de entrada cujo conteúdo harmônico
esteja em conformidade com as normas reguladoras IEC. Por fim o cálculo das potências
processadas na saída e entrada das estruturas controlada e não controlada, referentes ao ponto
de operação escolhido, será realizado para análise. Nesta etapa o fator de deslocamento
também é considerado nulo {cos(φ
1
)=0º)}. Deste modo o Fator de Potência (FP) é
determinado somente em função da DHT da corrente de entrada.
4.2 – Projeto do Retificador não Controlado (Retif-1)
Primeiro passo: Definir os dados de projeto para o retificador trifásico híbrido:
Potência média (P) na carga;
Rendimento teórico estimado (η);
Tensão eficaz por fase (V
ef
) de alimentação.
Dados de projeto:
V
ef
= 127 V;
P = 3000 W;
η = 0,95.
Observa-se que tensão média na carga (V
O
) está em função de V
ef
, conforme
equação (4.6) {definida em (2.22)}.
π
6.3.V
V
ef
O
= (4.6)
Da equação (4.6), obtém-se: V
O
=297 V.
138
Segundo passo: Com a potência média na carga (P) e a tensão eficaz por fase (V
ef
)
definidas, calculam-se os esforços máximos de corrente e tensão sobre os diodos que
compõem a ponte retificadora trifásica:
Valor médio máximo de corrente, conforme (4.7) {definida pela (4.3)}:
ef
Dmd
0,85.V
P
.
6.9
I
π
= (4.7)
Da equação (4.7), obtém-se: I
Dmd
=4,0 A.
Valor máximo de corrente, conforme (4.8) {definida pela (4.4)}:
ef
2
DPico
0,85.V
P
.
6.9
I
π
=
(4.8)
Da equação (4.8), obtém-se: I
DPico
=12,5 A.
Valor máximo de tensão, conforme (4.9) {definida pela (2.136)}:
efDPico
.1,15.V6V = (4.9)
Da equação (4.9), obtém-se: V
DPico
=358 V.
Escolha do componente:
Especificou-se para os diodos de entrada do Retif-1 (D
1
, D
2
, D
3
, D
4
, D
5
e D
6
) a ponte
retificadora trifásica à diodos SKD 2508 (Semikron) com V
Don
=2,2 V.
4.3 – Projeto do Retificador Controlado (Retif-2)
Terceiro passo: Definir os seguintes dados para o circuito e operação do conversor
SEPIC
1
:
Freqüência mínima de operação (f
smín
);
Valor percentual máximo (“I
in
%”) da ondulação (ripple) da corrente de
entrada i
in
(t);
O valor percentual máximo (“I
Lm
%”) da ondulação (ripple) da corrente de
saída i
Lm
(t);
O valor percentual máximo (“V
C
%”) da ondulação (ripple) da tensão v
C
(t)
sobre a capacitância de acumulação C
E
.
139
Dados de projeto para o retificador monofásico SEPIC
1
:
V
ef
= 127 V;
P = 3000 W;
η = 0,95;
f
smín
= 27,4 kHz;
I
in
% = 14 %;
I
Lm
% = 33 %;
V
C
% = 14 %.
Quarto passo: Calcular o intervalo de tempo de condução do interruptor controlado S
1
do conversor SEPIC
1
, conforme (4.10) {definido pela equação (3.134)}:
smín
ON
f.6,1
1
t =
(4.10)
Da equação (4.10), obtém-se: t
ON
= 22,8 us.
Quinto passo: Calcular as indutâncias de entrada (L
in
) e de saída (L
m
),
respectivamente, através das equações (4.11) e (4.12) {definidas por (3.157) e
(3.162)}:
ON
in
2
ef
in
t.
P%.I
V..600
L
η
= (4.11)
Da equação (4.11), obtém-se: L
in
=5,0 mH.
P%.I.1054,0
t.V.2.100
L
Lm
ON
2
ef
m
=
(4.12)
Da equação (4.12), calcula-se: L
m
=5,0 mH.
Sexto passo
: Determinar a capacitância de acumulação C
E
, através da equação (4.13)
{conforme (3.167)}:
2
efC
ON
E
V%.V
P.t
.
2
54,10
C
=
(4.13)
Da equação (4.13), calcula-se: C
E
=2,2 uF.
140
Verificar se o valor de C
E
calculado atende à restrição estabelecida pela (4.14)
{definida por (3.168)}. Se a restrição não for atendida, retornar ao terceiro
passo e redefinir um ou mais parâmetros de acordo com a conveniência do
projeto.
eqS
2
r
2
E
eqP
2
smín
2
L.f..400
1
C
L.f.
25
π
π
(4.14)
Sendo que:
f
r
: Freqüência da rede de alimentação (60 H
z
);
mineqS
LL L
+
=
(4.15)
min
min
eqP
LL
L.L
L
+
=
(4.16)
Logo, com os dados L
in
=5,0 mH e L
m
=5,0 mH, obtém-se:
L
eqS
=10 mH e L
eqP
=2,5 mH.
Portanto, através da equação (4.14), verifica-se que a restrição para o valor de C
E
é
atendida (
uF 0,7C uF 36,1
E
).
Sétimo passo: Calcular os esforços máximos de tensão e corrente através de cada
diodo da ponte retificadora monofásica de entrada do conversor SEPIC
1
.
O valor de pico da corrente, através da equação (4.17) {definida pela (3.158)}:
+
η
=
φ
200
%I
1.
.0,85.V
P
.2357,0I
in
ef
1
1DPico
(4.17)
Da equação (4.17), calcula-se: I
DPico1φ
=7,4 A
Tendo em vista que cada diodo conduz a corrente i
in
(ω.t) durante meio
semiciclo da tensão de alimentação, o valor médio da corrente que circula
através dos mesmos corresponde à metade do valor médio da corrente que
circula através do indutor de entrada L
in
, conforme equações (4.18) {definida
pela (3.159)}:
ef
DMd1
.0,85.V
P
.0715,0I
η
=
φ
(4.18)
Da equação (4.18), calcula-se: I
DMd1φ
=2,1A.
141
O valor de pico da tensão, através da equação (4.19):
ef
1
1DPico
V.15,1.2V =
φ
(4.19)
Da equação (4.19), calcula-se: V
DPico1φ
=206,5 V.
Escolha do componente:
Especificou-se para os diodos de entrada dos retificadores monofásicos: SEPIC
1
(D
7
, D
8
,
D
9
e D
10
), SEPIC
2
(D
14
, D
15
, D
16
e D
17
) e SEPIC
3
(D
21
, D
22
, D
23
e D
24
) a ponte
retificadora monofásica à diodos GBU8J (Fairchild Semiconductor) com V
Don
=1,0 V.
Oitavo passo: Calcular os esforços máximos de tensão e corrente através do
interruptor controlado S
1
.
O valor de pico da corrente, através da equação (4.20) {definida pela (3.170)}:
+η+
+
η
=
200
%I
1..447,0
200
%I
1.
.0,85.V
P
.2357,0I
Lmin
ef
sPico
(4.20)
Da equação (4.20), calcula-se: I
sPico
=10,8 A.
O valor de pico da tensão, através da equação (4.21) {definida pela (3.171)}:
π
+
+=
3.3
200
%V
1.V.15,1.2V
C
efsPico
(4.21)
Da equação (4.21), calcula-se: V
sPico
=563,4 V.
O valor eficaz da corrente, através da equação (4.22) {definida pela (3.172)}:
()
η
η+=
.0,85.V
P
..447,01.144,0I
ef
sefMd
(4.22)
Da equação (4.22), calcula-se: I
sefMD
=6,0 A.
O valor médio da corrente, através da equação (4.23) {definida pela (3.173)}:
ef
sMd
V.85,0
P
.064,0
143,0
.9,0I
+
η
=
(4.23)
Da equação (4.23), calcula-se: I
sMD
=5,4 A.
142
Escolha do componente:
Especificou-se para os interruptores controlados dos retificadores monofásicos: SEPIC
1
(S
1
), SEPIC
2
(S
2
) e SEPIC
3
(S
3
), o IGBT HGTG7N60A4D (Fairchild Semiconductor)
com diodo ultra-rápido encapsulado em paralelo e com V
Con
=2,2 V.
Nono passo: Determinar os esforços máximos de corrente e tensão sobre o diodo de
saída D
1
.
O valor de pico da corrente (I
m1Pico
), calculado também através da equação
(4.21): Portanto: I
m1Pico
= I
sPico
. I
m1Pico
=10,8 A.
O valor de pico da tensão (V
m1Pico
), calculado também pela equação (4.22):
Assim: V
m1Pico
= V
sPico
. V
sPico
=563,4 V.
O valor médio da corrente, pela equação (4.24) {definida através da (3.176)}:
ef
m1
0,85.V
P
.
54
2π.
0,777.I =
(4.24)
Da equação (4.24), calcula-se: I
m1
=1,8 A.
Escolha do componente:
Especificou-se para os diodos de saída dos retificadores monofásicos: SEPIC
1
(D
11
, D
12
e D
13
), SEPIC
2
(D
18
, D
19
e D
20
) e SEPIC
3
(D
25
, D
26
e D
27
), o diodo do tipo ultra-rápido
RHRP860 (Fairchild Semiconductor), com V
Don
=1,7 V e C
j
=25 pF.
4.4 – Escolha do Ponto de Operação do Retificador Trifásico Híbrido
O ponto de operação do retificador trifásico híbrido é determinado de acordo com o
fluxograma apresentado na Figura 4.1, considerando os dados nominais de projeto.
Dados de projeto
: P
= 3000 W; Ponto de operação: K
= 1,633.
V
ef
= 127 V;
η = 0,95;
cos(φ
1
)=0º.
143
Este fluxograma é um resumo de toda a análise discutida no Capítulo 2.
Não
Atualiza-se o valor médio
da corrente de saída I
Retif-1
Calculam-se os seguintes valores para análise.
O conteúdo harmônico da
corrente total de linha de
entrada (4.28) está em
conformidade com a Norma
IEC ?
Sim
Faz-se a atualização da equação que
descreve a corrente total de linha
K <= K + 0,01
Início
P
1
% P
2
%
S
1
% S
2
% P
in1
% P
in2
%
(4.29) (4.30)
(4.31)
(4.32)
(4.33) (4.34)
(4.28)
(4.27)
Potência aparente
de entrada
Potência média
de entrada
Potência média
de saída
Atualiza-se o parâmetro
auxiliar
ε
Calcula-se a
nova DHT
(4.25)
(4.26)
Condições iniciais:
- parâmetro K=1;
- DHT = 0,18;
- cos( ) = 0º;
- rendimento
.95,0
=
η
1
ϕ
Figura 4.1 – Escolha do ponto de operação do retificador trifásico híbrido.
Através do fluxograma mostrado na Figura 4.1, obteve-se os seguintes dados relativos
ao ponto de operação escolhido:
144
DHT
ia
= 0,025 {equação (4.25)}
ε = 0,95 {equação (4.26)}
I
Retif-1
= 6,72 A {equação (4.27)}
I
aef
= 8,21 A {equação (4.28)}
S
1
% = 70,3 % {equação (4.29)}
S
2
% = 38,2 % {equação (4.30)}
P
in1
% = 67,2 % {equação (4.31)}
P
in2
% = 32,8 % {equação (4.32)}
P
1
% = 67,2 % {equação (4.33)}
P
2
% = 32,8 % {equação (4.34)}
As equações indicadas na Figura 4.1 são comentadas na seqüência:
O cálculo da DHT (Figura 4.2), pela equação (4.25) {definida através da
(2.109)}:
()
()
KF
Kn,F
DHT_i
0Hz6a_
2n
2
1a_n
a
=
>
= (4.25)
1,00 1,13 1,26 1,38 1,50 1,75 1,88
0,050
0,075
0,100
0,125
0,150
0,175
0,200
K
DHT
K=1,633
DHT=0,025
DHT=0
K=2
Figura 4.2 – Variação do parâmetro “K” em função da DHT escolhida para as correntes de entrada do
retificador trifásico híbrido.
O cálculo do parâmetro auxiliar “ε”, pela equação (4.26) {definida através da
(2.16)}:
145
(
)
2
)DHT(1
.cos
+
η
ϕ
=ε
1
(4.26)
Determinando a corrente média de saída do retificador não controlado I
Retif-1
,
pela equação (4.27) {definida através da (3.16)}:
() ( )
ef
2n
2
12_n
2
2_60Hz
1-Retif
V
P
.
Kn,FKF.3
.π.2
I
=
>
+
=
(4.27)
O cálculo do valor eficaz da corrente total de linha de entrada i
a
(ω.t), pela
equação (4.28) {definida através da (2.106)}:
() ()
=
>
+
ε
=
2n
2
1a_n
2
0Hz6a_
1-Retif
raef_Fourie
2
Kn,F
2
KF
.
π.
I
I
(4.28)
Os valores percentuais das potências aparente de entrada S
1%
(Retif-1) e S
2%
(Retif-2), conforme Figura 4.3, determinados pelas equações (4.28) e (4.29)
{definidas através de (2.136) e (2.137)}:
1,0 1,2 1,4
1,6
1,8 2,0
0
20
40
60
80
100
K
%
38,2%
70,3%
S
1
%
S
2
%
DHT=0,025
K=1,633
DHT=0
K=2
DHT=0,18
K=1
56,7%
47,9%
50
Figura 4.3 – Variação percentual das potências aparente de entrada dos retificadores controlado e não
controlado, em função do parâmetro “K”.
146
()
() ( )
=
>
=
+
=
2n
2
1a_n
2
0Hz6a_
1n
2
1
1
Kn,FKF
nF
.100%S
(4.29)
() ( )
() ( )
=
>
=
>
+
+
=
2n
2
1a_n
2
0Hz6a_
2n
2
12_n
2
2_60Hz
2
Kn,FKF
Kn,FKF
.100%S
(4.30)
Os valores percentuais das potências médias de entrada P
in1%
(Retif-1) e P
in2%
(Retif-2), conforme Figura 4.4, determinados pelas equações (4.30) e (4.31)
{definidas através de (2.134) e (3.135)}:
()
()
KF
nF
.100%P
0Hz6a_
1
1n
1
in1
=
= (4.31)
(
)
()
KF
KF
.100%P
0Hz6a_
0Hz62_
in2
= (4.32)
1,0 1,2 1,4
1,6
1,8 2,0
0
20
40
60
80
100
K
%
DHT=0,025
K=1,633
DHT=0
K=2
DHT=0,18
K=1
55,1%
44,9%
50
P
in1
%
32,8%
67,2%
P
in2
%
Figura 4.4 – Variação percentual das potências médias de entrada dos retificadores controlado e não
controlado, em função do parâmetro “K”.
147
Os valores percentuais das potências médias de saída P
1%
(Retif-1) e P
2%
(Retif-2), conforme Figura 4.5, determinados pelas equações (4.33) e (4.34)
{definidas através de (2.115) e (2.116)}:
() ( )
=
>
+
=
2n
2
1a_n
2
0Hz6a_
ef
1
Kn,FKF
V.3.2
.100%P
(4.33)
1_%2_%
P100P
=
(4.34)
1,0 1,2 1,4
1,6
1,8
2,0
0
20
40
60
80
100
K
%
DHT=0,025
K=1,633
DHT=0
K=2
DHT=0,18
K=1
55,1%
50
32,8%
67,2%
DHT=0
DHT>0
%
1
P
44,9%
%
2
P
Figura 4.5 – Variação percentual das correntes médias de saída dos retificadores controlado e não
controlado, em função do parâmetro “K”.
4.5 – Cálculo do Filtro de Saída do Retificador Não controlado
O critério utilizado para o projeto do filtro de saída foi somente a restrição das
ondulações da corrente através do indutor de saída L
O
e da tensão sobre o capacitor C
O
em
paralelo com a carga R
O
, considerando o retificador trifásico híbrido operando em regime
permanente. Em uma análise de regime transitório (variação da carga, por exemplo) poderá
ser necessário ajustar os valores de L
O
e C
O
visando limitar as variações da tensão na carga. O
circuito equivalente do filtro de saída é visualizado através da Figura 4.6.
148
C
O
R
O
L
O
()
ω.tv
ab
O
I
(
)
ω.ti
1-Retif
O
V
+
-
+
-
+-
(
)
ω.tv
Lo
(
)
ω.ti
Co
Figura 4.6 – Filtro de saída do Retificador Híbrido Trifásico.
Os dados de projeto são os mesmos definidos no item 4.2, considerando que a corrente
total de carga circula através do filtro de saída (O retificador controlado Retif-2 encontra-se
desligado).
Dados de projeto
:
V
ef
= 127 V;
P = 3000 W.
4.5.1 – O Cálculo do Indutor de Saída
O funcionamento do circuito (Figura 4.6) foi analisado no intervalo “ω.t” entre 90º e
150º. Portanto a tensão V
ab
(ω.t) (tensão de saída da ponte retificadora trifásica) é dada pela
seguinte equação, a qual foi inicialmente definida pela (2.20):
() () ()
[
]
ω.tcosω.t.sen3..V
2
3
ω.tv
efab
= (4.35)
Nas equações que definem a tensão {v
Lo
(ω.t)} e corrente {i
Retif-1
(ω.t)} através do
indutor L
O
, as ondulações da corrente e tensão na carga são desprezadas, considerando-se
somente os seus valores médios, I
O
e V
O
, conforme a seguir:
(
)
(
)
OabLo
Vω.tvω.tv
=
(4.36)
()
(
)
[
]
d
t
ω.tid
.Lω.tv
1-Retif
OLo
= (4.37)
Substituindo-se (4.7) e (4.35) em (4.36) obtém v
Lo
(ω.t) de forma simplificada.
() () ()
[]
π
=
6.3
ω.tcosω.t.sen3.
2
3
.Vω.tv
efLo
(4.38)
A forma de onda de v
Lo
(ω.t) é visualizada através da Figura 4.7.
149
-20 V
-10 V
10 V
20 V
14,1 V
4,17ms 4,63ms 5,09ms
5,56ms
6,02ms 6,48ms 6,94ms
-27,6 V
0
6,14ms
1
t
(
)
ω.tv
Lo
Figura 4.7 – Tensão sobre o indutor de filtro de saída L
O
.
Levando (4.38) em (4.37) e aplicando a transformada de Laplace, obtém-se a equação
que descreve i
Retif-1
(ω.t), conforme Figura 4.8.
() () () () ()
11-Retif
O
ef
1-Retif
ω.tI1t.180.
.30
ω.tsenω.t.cos3.
L..2
V.3
ω.ti +
π
ω
++
ω
=
(4.39)
I
O
+0,125 A
4,17ms 4,63ms 5,09ms 6,02ms 6,48ms 6,94ms
5,56ms
6,14ms
1
t
I
O
Lo
2
1
I.
Lo
I
(
)
ω.ti
1Retif
4,98ms
0
t
I
O
+0,25 A
I
O
- 0,125 A
I
O
- 0,25 A
t
0
t
1
t
2
Figura 4.8 – Corrente através do indutor de filtro de saída L
O
.
A ondulação da corrente i
Retif-1
(ω.t) e a indutância L
O
são calculadas durante o
intervalo de tempo t
1
(t
1
, t
2
), tendo em vista que t
1
= t
0
, conforme destacado na Figura 4.8.
Assim, em t=t
1
, i
Retif-1
(ω.t
1
)=I
O
. Então:
()
O21-Retif
Lo
Iω.tI
2
I
=
(4.40)
150
ω
π
=
.72
.7
t
1
(4.41)
ω
π
=
.3
.2
t
1
(4.42)
112
ttt
+
=
(4.43)
Da equação (4.39) calcula-se L
O
, definindo-se um valor percentual da ondulação I
Lo
em relação ao valor médio da corrente na carga (I
O
).
ef
Lo
O
Lo
Lo
V.63.
P.
.
100
%I
.I
100
%I
I
π
=
=
(4.44)
Finalmente:
() () ()
π
ω
++
ωπ
= 1t.180.
.30
ω.tsenω.t.cos3.
P%.I..
V.1800
L
222
Lo
2
ef
O
(4.45)
Da equação (4.45) e com os dados: V
ef
=127 V, P=3000 W, I
Lo
%=3,5%, ω=2.π.60 e
t
2
=6,366 ms, define-se: L
O
=44 mH.
4.5.2 – O Cálculo do Capacitor de Saída
O capacitor do filtro de saída é determinado em função da ondulação de corrente I
Lo
,
através do indutor L
O
e da ondulação de tensão V
Co
considerada durante o intervalo de
tempo t
0
+t
1
=2.t
1
, conforme equação (4.46).
1
Co
Lo
O
t.2.
V
I
C
= (4.46)
A ondulação V
Co
é definida em função do seu valor percentual V
Co
% (em relação à
tensão de saída V
O
). Portanto:
100
%V
.
V.6.3
V
Coef
Co
π
=
(4.47)
Substituindo em (4.46) as equações (4.40), (4.44) e (4.47), resulta na equação
simplificada para o cálculo de C
O
.
2
efCo
1Lo
2
O
V%.V
t.P%.I
.
27
C
π
=
(4.48)
Com dados: V
ef
=127 V, P=3000 W, I
Lo
%=3,5 %, V
Co
%=0,3 % e t
1
=810 us,
define-se: C
O
=680 uF.
151
4.6 – Projeto dos Núcleos Magnéticos para os Indutores
O núcleo magnético tem como objetivo propiciar um caminho adequado para o fluxo
magnético. Dentre os tipos de materiais utilizados na construção dos núcleos destacam-se o
ferrite e as lâminas de ferro-silício. Para operações em freqüências reduzidas as lâminas de
ferro-silício são mais adequadas, entretanto, aumentando-se a freqüência de operação, a sua
aplicação torna-se impraticável devido o aumento das perdas por histerese e a conseqüente
elevação da temperatura. Os núcleos de ferrite são indicados para freqüências mais elevadas
de operação, no entanto, com algumas desvantagens em relação às lâminas de ferro silício,
tais como uma densidade de fluxo reduzida de saturação (0,3 T) e menor robustez mecânica.
4.6.1 – Projeto dos Núcleos para os Indutores de Entrada e de Saída do SEPIC
1
A metodologia utilizada para o cálculo do elemento magnético, a seção de fio e o
número
de espiras para os indutores de entrada (L
1
e L
2
) do SEPIC
1
é mesma adotada para o
indutor de saída (L
3
) [49]. A diferença está no valor eficaz e valor de pico da corrente que
circula através destes indutores. A seguir, tem-se os passos utilizados no projeto do núcleo de
ferrite e quantidade de espiras para os indutores L
1
, L
2
e L
3
.
Observa-se que L
1
=L
2
=½.L
in
e L
3
=L
m
, conforme definido no Capítulo 3.
Passo 1) Calcula-se a seção do fio condutor de cobre (cm
2
), através da equação (4.49).
J
I
S
ef
Cond
= (4.49)
Onde:
S
Cond
: Seção do fio condutor de cobre (cm
2
);
I
ef
: Corrente eficaz que circula através do fio condutor de cobre (cm
2
);
J: Densidade de corrente do fio condutor (A/cm
2
).
Observa-se que como o fio estará sujeito a elevadas freqüências, podendo sofrer o
efeito de condução superficial (efeito “skin”), faz-se a análise conforme mostra o passo 2.
Passo 2) Análise da influência do efeito Skin, conforme a seguir:
Passo 2) Calcula-se o raio R
Cond
(cm
2
) da seção do fio condutor de cobre:
π
=
Cond
Scond
S
R
(4.50)
Calcula-se a profundidade do raio R
skin
(cm
2
), referente à penetração da corrente em
função da freqüência máxima de comutação (f
smáx
):
152
smáx
skin
f
7,5
R =
(4.51)
Se R
Cond
> R
skin
, então há a necessidade de se utilizar pares trançados de fios de cobre
(fio litz). Neste caso, calcula-se a quantidade (Q
litz
) de condutores a serem utilizados
através
da equação (4.52).
2
skin
Cond
litz
R.
S
Q
π
=
(4.52)
Caso contrário, utiliza-se o fio comum.
Passo 3) Através da equação (4.53) obtém-se o produto da área da perna central do
núcleo (A
e
) pela área da janela do carretel (A
w
), em (cm
4
):
J.B
10.I.I.K.L
A.A
4
picoefc
we
= (4.53)
Onde:
K
c
: Coeficiente de ajuste dos indutores na área A
w
;
L: Valor da indutância (H);
B: Densidade de fluxo do núcleo (T);
I
pico
: Corrente de pico através do fio condutor de cobre (A);
A
e
: Área da perna central do núcleo, fornecida pelo fabricante (cm
2
);
A
w
: Área da janela do carretel, fornecida pelo fabricante (cm
2
).
Do catálogo do fabricante Thornton escolhe-se um núcleo de ferrite, cujo produto das
áreas A
e
.A
w
seja maior do que o produto das áreas obtido através da equação (4.53). Caso
contrário, escolhe-se um outro núcleo de ferrite com dimensões superiores e refaz-se o
projeto.
Passo 4) Calcula-se o número de espiras do fio condutor de cobre, através de (4.54).
e
4
pico
e
A.B
10.I.L
N =
(4.54)
Onde:
N
e
: Número de espiras.
153
Passo 5) Calcula-se o entreferro (cm) de acordo com a equação (4.55):
L
10.N.A.
L
2
2
ee0
g
µ
=
(4.55)
Onde:
L
g
: Entreferro.
Logo, determina-se o núcleo dos indutores de entrada L
1
e L
2
, com os seguintes dados:
L
1
=L
2
= 2,5 mH;
I
ef
= 4,68 A {Calculada pela equação (4.65)}.
I
pico
= 7,4 A {Calculada pela equação (4.17)}.
f
smín
= 27,4 kHz
K
c
= 1,35
J = 450 A/cm
2
µ
0
=
4. π.10
-7
B = 0,35 T
O valor eficaz da corrente através dos indutores L
1
e L
2
é determinado pela equação
(4.56) {definida no capítulo 3 pela equação (3.160)}:
ef
inef
V.85,0.
P
.16,0I
η
=
(4.56)
Com dados: V
ef
=127 V, P=3000 W e η=0,95, obtém-se: I
ef
=I
inef
=4,68 A.
O produto A
e
.A
w
calculado pela (4.53) é de 7,42 cm
4
. Do catálogo do fabricante
Thornton escolheu-se o núcleo de ferrite tipo IP12 EE 55/20, para o indutor de entrada do
SEPIC
1
, cujo produto das áreas A
e
.A
w
corresponde à 8,85 cm
4
.
Assim:
Número de espiras: N
e
=150 (fio comum);
Comprimento médio de uma espira: L
e
=10,7 cm;
Entreferro: 0,4 cm;
Condutor: 17 AWG;
Resistividade do condutor pela seção transversal: ρ/S
cu
=0,000222 /cm
2
;
Volume magnético efetivo do núcleo: V
EE
=42,5 cm
3
.
154
Passo 6) Cálculo das perdas no cobre (efeito Joule) dos enrolamentos e perdas
magnéticas (no núcleo ):
As perdas no cobre são calculadas pela seguinte expressão:
2
inef
Cond
e
ewCond
I.
S
L
.N.P ρ= (4.57)
Com dados: I
inef
=4,68 A, ρ/S
Cond
=0,000222 /cm
2
, L
e
=10,7 cm e N
e
=150 espiras,
obtém-se: P
Cond
= 5,84 W.
As perdas de potência no núcleo (P
wEE
) são basicamente devidas à histerese do
material e variam diretamente com a freqüência de comutação e com a variação da densidade
de fluxo (B em Tesla). A variação da densidade de fluxo é determinada a seguir:
ee
inin
A.N
I.L
B
= (4.58)
Com dados: L
in
=2,5 mH, I
in
=0,82 A, N
e
=150 e A
e
=3,54 cm
2
, obtém-se:
B=0,039 T.
Os parâmetros “β”, “K
H
” e “K
F
” que compõem a equação (4.68) representam
características do material ferrite. Tipicamente os valores de β variam entre 2,4 e 2,8 [8 e 49].
Já os demais parâmetros possuem valores referenciais fixos para núcleos da Thornton
(K
H
=4.10
-5
e K
H
=4.10
-10
) [49].
(
)
EE
2
sFsHwEE
V.f.Kf.K.BP +=
β
(4.59)
Onde:
β
: Expoente de perdas no núcleo;
f
s
: Freqüência de comutação;
K
H
: Coeficiente de perdas por histerese;
K
F
: Coeficiente de perdas por correntes parasitas (Foucault).
Com dados: B=0,039 T, β=2,6, K
H
=4.10
-5
, K
F
=4.10
-10
, f
s
=f
smáx
=43,86 kHz e
V
EE
=42,5 cm
3
, Calcula-se:
P
wEE
=0,029 W.
Assim, as perdas totais em cada indutor de entrada são:
EwwCondwtotal
PPP
E
+
=
(4.60)
P
wtotal
=5,86 W.
Passo 7) Cálculo da elevação da temperatura no núcleo escolhido:
155
As perdas totais (efeito Joule + magnéticas) no indutor geram aquecimento e
conseqüentemente uma determinada elevação da temperatura acima do ambiente ao qual este
está submetido. Inicialmente determina-se a resistência térmica do núcleo na seqüência.
(
)
37
Wenúcleo
A.A.23thR
= (4.61)
Com os dados A
e
.A
w
=8,85 cm
4
,
calcula-se: Rth
núcleo
=10,26 ºC/W.
Finalmente, pela equação seguinte, calcula-se a elevação da temperatura no núcleo.
wtotalnúcleo
P.thRT
=
(4.62)
Com os dados Rt
núcleo
=10,26 ºC/W e P
wtotal
=5,86 W
,
obtém-se: T=60,1 ºC.
De forma semelhante, determina-se o núcleo do indutor de saída, com os seguintes
dados:
L
3
= 5,0 mH;
I
ef
= 2,0 A {Calculada pela equação (4.63)}.
I
pico
= 3,0 A {Calculada pela equação (4.64)}.
f
smín
= 27,4 kHz
K
c
= 1,6
J = 450 A/cm
2
µ
0
=
4. π.10
–7
H/m
B = 0,35 T
O valor eficaz e valor de pico da corrente através do indutor L
3
são determinados pelas
equações (4.63) e (4.64) {definidas no capítulo 3 pelas equações (3.163) e (3.165)}:
ef
Lmef
0,85.V
P
.07157,0I =
(4.63)
+=
200
%I
1.
V.85,0
P
.1054,0tI
Lm
ef
1Lm
(4.64)
Com dados: V
ef
=127 V, I
Lm
% = 33 % e P=3000 W, obtém-se:
I
ef
=I
Lnef
=2,0 A.
I
pico
=I
Lnt1
=3,0 A.
156
- O produto A
e
.A
w
calculado através da equação (4.53) corresponde a 3,05 cm
4
.
Analogamente, do catálogo do fabricante Thornton escolheu-se o núcleo de ferrite
tipo IP12 EE 42/20, para o indutor de saída L
3
, cujo produto das áreas A
e
.A
w
é
8,85 cm
4
.
Assim:
Núcleo tipo: IP12 EE 55/20;
Número de espiras: Ne =122, fio comum;
Comprimento médio de uma espira: L
e
=10,7 cm;
Entreferro: 0,13 cm;
Condutor: 20 AWG;
Resistividade do condutor pela seção transversal: ρ/S
Cond
=0,000445 /cm
2
;
Volume magnético efetivo do núcleo: V
EE
=42,5 cm
3
.
Portanto, com os dados anteriores determinam-se as perdas e elevação de temperatura
no indutor de saída do conversor SEPIC
1
, relacionadas a seguir:
P
wCond
= 1,74 W {Calculado pela equação (4.57)}.
B = 0,095 T {Calculada pela equação (4.58)}.
P
wEE
= 0,235 W {Calculada pela equação (4.59)}.
P
wtotal
= 2,0 W {Calculada pela equação (4.60)}.
Rt
núcleo
= 10,26 ºC/W {Calculada pela equação (4.61)}.
T = 20,27 ºC {Calculada pela equação (4.62)}.
Neste projeto, verificou-se que a contribuição das perdas magnéticas nos núcleos
(Indutores: L
1
, L
2
e L
3
) na elevação da temperatura é mínima.
As perdas totais nos indutores L
1
, L
2
e L
3
são:
P
wIndSEPIC1
= 7,86 W { Indutores L
1
, L
2
e L
3
}
4.6.1 – Projeto dos Núcleos dos Indutores de Saída do Retificador Não-controlado
Em geral o núcleo dos pequenos transformadores e indutores de baixa freqüência é
feito com lâminas de ferro-silício padronizadas e isoladas eletricamente (objetivando limitar
as perdas por correntes de Foucault), chamadas de E e I, em virtude de seu formato especial.
Todas as dimensões das lâminas E e I são em função da largura (a) do tronco central [50],
conforme Figura 4.9.
157
b
3.a
0,5.a
2,5.a
a
1,5.a
Figura 4.9 – Núcleo laminado de ferro-silício do indutor de filtro de saída L
O
.
Na Figura 4.10 são destacadas algumas grandezas características importantes do
núcleo laminado, utilizadas no projeto do indutor, como:
A área da janela (A
w
) em função de (a), pois dela dependerá o número de espiras e
a seção dos condutores que irão constituir a bobina do indutor;
A espessura (b) resultante do empilhamento das lâminas;
O peso de cada centímetro da espessura (b) e o volume total do núcleo;
A área da seção da perna central (A
e
) do núcleo por onde circulará o fluxo máximo.
Ae=a.b
a
b
(a)
Aw=0,5 . 1,5. a
2
3.a
a
1,5.a
0,5.a 0,5.a 0,5.a
0,5.a
0,5.a
(b)
Figura 4.10 – Detalhes do núcleo laminado de ferro-silício do indutor de filtro de saída L
O
.
158
A seguir será apresentada a metodologia de projeto passo a passo do núcleo laminado
de ferro-silício:
Passo 1) Cálculo da área da perna central (A
e
) do núcleo:
se
K.b.aA
=
(4.65)
Onde:
K
s
: Coeficiente de empilhamento das lâminas de ferro-silício.
Passo 2) Determinando o comprimento médio do caminho magnético (L
Fe
) do núcleo:
a.5,6L
Fe
=
(4.66)
Passo 3) Cálculo da relutância do ferro-silício (R
eFe
):
2
eFe
Fe
eFe
10.
A.
L
R
µ
= (4.67)
Onde:
µ
Fe
: Permeabilidade magnética do ferro-silício (µ
Fe
= 7,692.10
–3
H/m).
Passo 4) Cálculo da área do entreferro (A
Lg
):
sLg
K.b.a.2A
=
(4.68)
Passo 5) Cálculo da relutância do entreferro (R
eLg
):
2
Lg0
g
eLg
10.
A.
L
R
µ
= (4.69)
Onde:
µ
0
: Permeabilidade magnética do ar (µ
0
= 4.π.10
–7
H/m).
Passo 6) Cálculo da relutância total núcleo:
eLgeFeetotal
RRR
+
=
(4.70)
Passo 7) Determinando a quantidade de espiras:
etotale
R.LN = (4.71)
Passo 8) Cálculo da área da janela (A
w
) para a acondicionamento das espiras:
159
2
w
a.75,0A = (4.72)
Passo 9) Calcula-se a seção do fio condutor de cobre (cm
2
) {definida pela (4.49)}:
J
I
S
1(eficaz)-Retif
Cond
= (4.73)
Onde:
S
Cond
: Seção do fio condutor de cobre (cm
2
);
I
Retif-1(eficaz)
: Corrente eficaz que circula através do fio condutor de cobre (cm
2
);
J: Densidade de corrente do fio condutor (A/cm
2
).
Devido a ondulação da corrente de saída do retificador não controlado ser
praticamente uma senóide, pode-se aproximar o cálculo do valor eficaz da corrente i
Retif-1
(ω.t)
{equação (4.38)} pela seguinte equação:
2.2
I
II
Lo
1-Retif1(eficaz)-Retif
+= (4.74)
Substituindo (4.2) e (4.44) em (4.74) resulta em:
+
π
=
2.200
%I
1.
0,85.V
P
.
6.3
I
Lo
ef
1(eficaz)-Retif
(4.75)
Passo 10) Verifica-se a necessidade do uso de fio litz, através das equações (4.50),
(4.51) e (4.52):
Passo 11) Verificação da possibilidade de enrolamento (P
oss
) das espiras no núcleo:
Conde
w
oss
S.N
A
P =
(4.76)
Condição para a implementação: 3P
oss
Esta condição é um procedimento prático adotado por autores de bibliografias
específicas (projeto de núcleos de ferro-silício) visando garantir que as espiras
do condutor escolhido sejam devidamente alojadas na janela do núcleo.
Passo 12) Cálculo da área frontal efetiva (A
Lam
) da chapa de ferro-silício (cm
2
):
2
Lam
a.6A = (4.77)
160
Passo 13) Calcula-se o volume efetivo (V
núcleo
) do núcleo (cm
3
):
s
2
núcleo
K.b.a.6V = (4.78)
Passo 14) Cálculo do peso do núcleo (P
esoNúcleo
) (g):
EspFenúcleoesoNúcleo
P.VP
=
(4.79)
Onde:
P
EspFe
: Peso específico do ferro-silício (P
EspFe
=7,8 g/cm
3
).
Passo 15) Determinando as perdas por efeito Joule no núcleo (W):
3
wEspFeesoNúcleowNúcleo
10.P.PP
= (4.80)
Onde:
P
wEspFe
: Perda específica de potência no ferro-silício (P
wEspFe
=1,6 W/kg).
Passo 16) Cálculo do comprimento médio (L
e
) das espiras (cm):
b.2
2
2.aL
e
+
π
+= (4.81)
Passo 17) Substituindo-se (4.75) em (4.57), calcula-se a potência dissipada no cobre
(P
wCond
):
2
1(eficaz)-tifRe
Cond
e
ewCond
I.
S
L
.N.P ρ= (4.82)
Passo 18) Determinando as perdas totais no indutor (W):
wCondwNúcleowtotal
PPP
+
=
(4.83)
Passo 19) Análise da elevação da temperatura (T):
Nos transformadores e indutores resfriados a ar, o espaço existente entre o
núcleo e as bobinas, não é suficiente para uma transmissão adequada de calor.
Deste modo, as calorias produzidas no núcleo serão transmitidas ao ar pelas
superfícies do núcleo existentes externamente às bobinas. Já as calorias
161
produzidas pelas bobinas serão transmitidas ao ar pela sua superfície externa
ao núcleo.
O coeficiente de adução (K
a
) é a quantidade de watts cedidos para o ar, por
cada metro quadrado de superfície por cada grau centígrado de elevação da
temperatura.
Para o núcleo de ferro pode ser considerado K
aFe
=12 W/m
2
ºC. Isto
significa que a irradiação de 12 watts por metro quadrado de superfície resulta
em uma elevação de temperatura de 1,0 ºC.
Para as bobinas pode ser considerado K
aCond
=20 W/m
2
ºC.
Analogamente, a irradiação de 20 watts por metro quadrado de superfície
resulta em uma elevação de temperatura de 1,0 ºC.
Na seqüência são dadas as equações para o cálculo das áreas externas em contato com
o ar para a retirada de calor do núcleo e das bobinas.
Calculando a área externa (A
extNúcleo
) para a retirada de calor do núcleo de
ferro-silício (m
2
):
(
)
42
extNúcleo
10.b.a.11a.9A
+= (4.84)
Calculando a área externa (A
extCond
) para a retirada de calor da bobina (m
2
):
42
extCond
10.b.a
4
1.a.3A
+
π
+=
(4.85)
A resistência térmica (Rth
Núcleo
) e a elevação da temperatura (T
Núcleo
) do
núcleo são calculadas através das equações a seguir:
aFeextNúcleo
Núcleo
K.A
1
Rth =
(4.86)
wNúcleoNúcleoNúcleo
P.RthT
=
(4.87)
A resistência térmica (Rth
Cond
) e a elevação da temperatura (T
Cond
) das
bobinas são calculadas com as equações a seguir:
aCondextCond
Cond
K.A
1
Rth =
(4.88)
wCondCondCond
P.RthT
=
(4.89)
162
O indutor (L
O
) do filtro de saída do retificador não controlado é constituído por dois
indutores (L
O1
e L
O2
) conectados em série. Portanto o projeto do núcleo de ferro-silício
refere-se a metade da indutância L
O
. Assim, L
O1
=L
O2
=½.L
O
.
Escolheu-se a chapa de ferro-silício do tipo 4HS-450 (Fabricante Tessin) com a
largura da perna central igual “a” igual 4,5 cm, para compor os indutores L
O1
e L
O2
, com os
seguintes dados:
L
O1
=L
O2
= 22 mH
I
Retif-1(eficaz)
= 12,0 A Calculada pela equação (4.75)
a = 4,5 cm Largura da perna central do núcleo
b = 5,5 cm Espessura do núcleo
L
g
= 0,15 cm Entreferro
K
s
= 0,9 Coeficiente de empilhamento das chapas
J = 450 A/cm
2
Densidade superficial de corrente
µ
0
=
4. π.10
–7
H/m Permeabilidade magnética do ar
µ
Fe
= 7,692.10
–3
H/m Permeabilidade magnética do ferro-silício
B = 1,0 T Indução magnética
Percorrendo os dezenove passos de projeto, descritos anteriormente, resultam os
seguintes dados utilizados na construção dos indutores L
O1
e L
O2
:
A
e
= 22,27 cm
2
Área da perna central {equação (4.65)}
L
Fe
= 29,25 cm Caminho magético {equação (4.66)}
R
eFe
= 17.070 1/H Relutância do ferro-silício {equação (4.67)}
A
Lg
= 44,55 cm
2
Área do entreferro {equação (4.68)}
R
eLg
= 267.940 1/H Relutância do entreferro {equação (4.69)}
R
etotal
= 285.010 1/H Relutância total {equação (4.70)}
N
e
= 80
Quantidade de espiras {equação (4.71)}
A
w
= 15,20 cm
2
Área da janela {equação (4.72)}
S
Cond
= 0,033092 cm
2
Seção do condutor AWG 12 {equação (4.73)}
ρ/S
Cond
= 0,000070 /cm
2
Condutor AWG 12
P
oss
= 5,79 Possibilidade de enrolamento {equação (4.76)}
A
Lam
= 121,50 cm
2
Área frontal efetiva {equação (4.77)}
V
Núcleo
= 601,43 cm
3
Volume do núcleo {equação (4.78)}
P
esoNúcleo
= 3,91 kg Peso do núcleo {equação (4.79)}
P
wNúcleo
= 6,25 W Perdas no núcleo {equação (4.80)}
163
L
e
= 27,07 cm Comprimento da espira {equação (4.81)}
P
wCond
= 21,61 W Perdas no condutor {equação (4.82)}
P
wTotal
= 27,86 W Perdas totais no indutor {equação (4.83)}
A
extNúcleo
= 0,0455 m
2
Área externa do núcleo {equação (4.84)}
A
extCond
= 0,0183 m
2
Área externa da bobina {equação (4.85)}
Rth
Núcleo
= 1,83 ºC/W
Resistência térmica do núcleo {equação (4.86)}
T
Núcleo
= 11,47 ºC
Variação da temperatura no núcleo {equação (4.87)}
Rth
Cond
= 2,74 ºC/W
Resistência térmica da bobina {equação (4.88)}
T
Cond
= 59,12 ºC
Variação da temperatura da bobina {equação (4.89)}
Tendo em vista que os indutores (L
O1
e L
O2
) estão conectados em série, as perdas
totais são somadas, a seguir:
P
wTotal
(L
O
) = 55,72 W.
4.7 – Circuito Snubber para o Interruptor Principal do SEPIC
1
Durante a entrada em condução e/ou bloqueio de interruptores de potência,
controlados ou não, tais como MOSFET, IGBT e diodos, ocorre a troca de energia entre as
capacitâncias intrínsecas ou encapsuladas destes dispositivos com as indutâncias parasitas do
circuito (trilhas na placa de circuito impresso, por exemplo). Devido aos valores reduzidos
destas indutâncias e capacitâncias envolvidas, ocorrem oscilações (ruídos de modo
diferencial) de corrente e de tensão em freqüências elevadas (podendo chegar à GHz),
resultando em esforços elevados de tensão sobre tais interruptores e Interferência
eletromagnética (IEM). Devido a dificuldade em se medir corretamente todos os elementos
parasitas envolvidos, o circuito snubber foi projetado empiricamente utilizando-se como
ponto inicial de projeto a freqüência de oscilação da tensão sobre o interruptor principal
IGBT. Optou-se pelo emprego de duas topologias bastante comuns, o RC (snubber de
amortecimento) e o RCD (snubber de grampeamento). A seguir na Figura 4.11 são
apresentados os dois circuitos snubbers utilizados [51]. Observa-se que na implementação
prática os circuitos snubbers são posicionados o mais próximo possível do interruptor, ao
contrário da ilustração apresentada anteriormente na Figura 4.11.
Dados do snubber:
Snubber RC: R
s
=370 e C
s
=500 pF;
Snubber RCD: R
g
=80 k, C
g
=82 nF e D
g
=1N5408.
164
C
s
R
s
C
g
R
g
S
1
D
g
Snubber RC
Snubber RCD
Figura 4.11 – Snubbers RC e RCD utilizados no interruptor controlado do SEPIC
1
.
O snubber RC proporciona o amortecimento da oscilação sem a preocupação de
limitar totalmente os valores de pico, resultando em uma menor potência dissipada (P
wRC
),
calculada pela conforme na seqüência.
A freqüência média de operação (f
sMd
) é calculada através da equação (4.90) {definida
pela (3.136)}.
ON
sMd
t.3,1
1
f =
(4.90)
Da equação (4.90) e com os dados: T
ON
=22,8 us, calcula-se: f
sMd
=33,74 kHz.
A tensão de pico sobre o interruptor (V
sPico
) é determinada pela (4.91) {definda pela
(3.171)}.
π
+
+=
3.3
200
%V
1.V.2V
C
efsPico
(4.91)
Da equação (4.91) e com os dados: V
ef
=127 V e V
C
%=14 %, calcula-se:
V
sPico
=489,25 V.
A dissipação de potência no RC é determinada pelo valor da capacitância C
s
.
Usualmente no cálculo da potência dissipada no capacitor aparece o coeficiente ½, entretanto
para esta aplicação, em algumas publicações [51] considera-se a dissipação de potência pela
resistência R
s
tanto na carga quanto na descarga da capacitância C
s
, conforme equação (4.92).
sMd
2
sPicoswRC
f.V.CP = (4.92)
Logo, da equação (4.92) e com os dados: f
sMd
=33,74 kHz, V
sPico
=489,25 V e C
s
=500
pF, calcula-se: P
wRC
=4,04 W.
165
O snubber RCD faz somente o grampeamento dos picos de tensão, uma vez que a
topologia empregada não possui capacidade amortecimento. A potência dissipada no RCD é
determinada pela equação a seguir.
g
2
sPico
wRCD
R
V
P =
(4.93)
Da equação (4.93) e com os dados: V
sPico
=489,25 V e R
g
=80 k, calcula-se:
P
wRCD
=3,0 W.
Assim, a potência total dissipada pelo snubber é: P
wSnubber
=7,04 W.
4.8 – Cálculo Térmico dos Semicondutores
O cálculo térmico de um componente tem como finalidade assegurar que a sua
temperatura de junção permaneça dentro do valor limite estipulado pelo fabricante [49].
Geralmente a temperatura máxima de junção (T
j
) para um transistor de potência (IGBT e
MOSFET, por exemplo) é igual a T
j
=150 ºC e para um diodo pode alcançar até T
j
=175 ºC.
O cálculo térmico em regime permanente é definido conforme a seguir (Figura 4.12):
T
j
T
c
T
d
T
a
R
jc
R
cd
R
da
P
Td
Figura 4.12 – Diagrama geral para o cálculo térmico de semicondutores.
Onde:
T
j
: Temperatura da junção (ºC);
T
c
: Temperatura do encapsulamento (ºC);
T
d
: Temperatura do filme de ar que circunda o dissipador (ºC);
T
a
: Temperatura do ambiente (ºC);
R
jc
: Resistência térmica junção-cápsula (ºC/W), dado fornecido pelo fabricante;
R
cd
: Resistência térmica de contato entre o componente e o dissipador (ºC/W),
geralmente em torno de 0,2 ºC/W;
R
da
: Resistência térmica entre o filme de ar que circunda o dissipador e o ambiente
(ºC/W);
P
Td
: Potência térmica dissipada pelo semicondutor (W).
166
Assim, calculando-se o valor da resistência térmica R
da
através da equação (4.94),
define-se o tipo e dimensões do dissipador a ser empregado.
(
)
dacdjcTdaj
RRR.PTT
+
+
=
(4.94)
4.8.1 – Cálculo Térmico dos Semicondutores do Retificador Monofásico SEPIC
1
O circuito do retificador monofásico SEPIC
1
é composto dos seguintes
semicondutores, já definidos anteriormente.
Três diodos de potência RURP860;
Um interruptor controlado IGBT HGTP7N60A4D;
Uma ponte retificadora monofásica de diodos GBU8J.
O diodo RHRP860 é um dispositivo ultra-rápido durante a comutação devido o valor
reduzido de sua capacitância de junção (C
j
=25 pF). Portanto as suas perdas de comutação são
desprezíveis (aproximadamente 200 uW). Já as suas perdas em condução serão consideradas
e calculadas na seqüência, multiplicando-se a tensão de junção do dispositivo (V
Don
=1,7 V)
pelo valor médio da corrente {definida pela equação (3.176)}.
ef
DonTdDiodo
V
P
.
54
2π.
.0,777.VP =
(4.95)
Com os dados: V
Don
=1,7 V, P=3000 W e V
ef
=127 V, calcula-se: P
TdDiodo
=3,02 W.
Tendo em vista que o valor médio da corrente que circula através dos três diodos é o
mesmo, a potência total dissipada é: P
3TdDiodo
=9,06 W.
Assim, com os dados do fabricante (T
j
=150 ºC, R
jc
=2,0 ºC/W e R
cd
=0,2 ºC/W), obtém-
se através de equação (4.94) os seguintes dados:
Diodos RURP860
P
3TdDiodo
= 9,06 W {Valor calculado}
T
j
= 150 ºC {Dado fabricante}
T
c
= 131,88 ºC {Valor calculado}
T
d
= 130,07 ºC {Valor calculado}
R
jd
= 2,2 ºC/W (R
jd
= R
jc
+ R
cd
) {Dado fabricante}
O IGBT HGTG7N60A4D (Fairchild Semiconductor) possui perdas por efeito Joule
tanto em condução quanto no bloqueio e serão calculadas. O diodo encapsulado em
antiparalelo é um dispositivo ultra-rápido e portanto as suas perdas por recuperação reversa
são desprezíveis. Os dados fornecidos pelo fabricante são relacionados na seqüência.
167
Dados do fabricante: T
j
=150 ºC; R
jc
=1,0 ºC/W; R
cd
=0,2 ºC/W; V
Con
=2,2 V; t
r
=7,0
ns; t
f
=85,0 ns.
Onde:
V
Con
: Tensão de junção do dispositivo em condução (V);
t
r
: Intervalo de tempo de subida da corrente durante a entrada em condução (s);
t
f
: Intervalo de tempo de descida da corrente durante o bloqueio(s).
As perdas em condução são calculadas pelo produto de V
Con
com a corrente média
(I
sMd
) no período de rede {definida pela equação (3.173)}.
ef
ConIGBTcond
V
P
.064,0
143,0
.9,0.VP
+
η
=
(4.96)
Com os dados: V
Don
=2,2 V, η=0,95, P=3000 W e V
ef
=127 V, calcula-se:
P
IGBTcond
=10,03 W.
As perdas de comutação são determinadas através do produto da corrente média (I
sMd
)
pela tensão (V
sPico
) sobre o interruptor bloqueado durante o intervalo (t
r
+t
f
) em um período
médio de comutação (1,3.T
ON
), conforme a seguir:
()
π
+
+
+
η
+
=
3.3
200
%V
1.064,0
143,0
.9,0.2.P.
T.3,1
tt
P
C
ON
fr
IGBTcom
(4.97)
Com os dados: T
ON
=22,8 us, t
r
=7,0 ns, t
f
=85,0 ns, η=0,95, P=3000 W e V
C
%=14 %,
calcula-se: P
IGBTcom
=6,93 W.
Portanto, as perdas totais no IGBT são: P
TdIGBT
=10,03 + 6,93 = 16,96 W. Com os
dados fornecidos pelo fabricante obtém-se:
IGBT HGTG7N60A4D
P
TdIGBT
= 16,96 W {Valor calculado}
T
j
= 150 ºC {Dado fabricante}
T
c
= 133,04 ºC {Valor calculado}
T
d
= 129,65 ºC {Valor calculado}
R
jd
= 1,2 ºC/W (R
jd
= R
jc
+ R
cd
) {Dado fabricante}
No caso da ponte retificadora monofásica de diodos GBU8J, o fabricante já fornece as
perdas totais do dispositivo, entretanto não fornece a resistência térmica R
jc
, impedindo o
cálculo da resistência junção-dissipador (R
jd
). Na seqüência apresentam-se os dados
disponíveis para este dispositivo:
168
Ponte retificadora GBU8J
P
TdGBU8J
= 6,9 W { Dado fabricante }
T
j
= 150 ºC {Dado fabricante}
T
c
= {Não calculado}
T
d
= {Não calculado}
R
jd
= R
jd_GBU8J
{Não fornecido pelo fabricante}
É importante conhecer a diferença de temperatura (T) entre o filme de ar que
circunda o dissipador (T
d
) e o ambiente (T
a
), para a qual a resistência térmica do dissipador
foi tabelada pelo fabricante. No caso deste projeto (Fabricante HS dissipadores), os valores
de resistência térmica R
da
referem-se a uma T = T
d
- T
a
= 75 ºC. Assim, adotando que T
a
=30 ºC, calcula-se T
d
=105 ºC. Portanto, atualiza-se os dados (T
j
, T
c
e T
d
) para os
componentes conforme na seqüência.
Diodos RURP860
P
3TdDiodo
= 9,06 W {Valor calculado}
T
j
= 124,93 ºC {Valor calculado}
T
c
= 106,81 ºC {Valor calculado}
T
d
= 105 ºC {Valor adotado}
R
jd
= 2,2 ºC/W (R
jd
= R
jc
+ R
cd
) {Dado fabricante}
IGBT HGTG7N60A4D
P
TdIGBT
= 16,96 W {Valor calculado}
T
j
= 125,35 ºC {Valor calculado}
T
c
= 108,40 ºC {Valor calculado}
T
d
= 105 ºC {Valor adotado}
R
jd
= 1,2 ºC/W (R
jd
= R
jc
+ R
cd
) {Dado fabricante}
Ponte retificadora GBU8J
P
TdGBU8J
= 6,9 W {Dado fabricante}
T
j
= 125 ºC {Valor estimado}
T
d
= 105 ºC {Valor adotado}
R
jd
= R
jd_GBU8J
{Não fornecido pelo fabricante}
169
O diagrama esquemático para o cálculo de R
da
é apresentado a seguir pela Figura 4.13.
30 ºC
T
j
T
d
T
a
R
jd
R
da
P
TdIGBT
=16,96 W
P
TdGBU8J
=6,90 W
P
TdDiodo
=9,06 W
1,2 ºC/W
2,2 ºC/W
125,30 ºC
124,93 ºC
125 ºC
105 ºC
d
iss
105 ºC
105 ºC
R
jd_GBU8J
Figura 4.13 – Diagrama aproximado para o cálculo térmico de semicondutores.
O diagrama anterior é simplificado somando-se todas as potências (P
Td
) no dissipador
conforme na seqüência.
T
a
=30 ºC
R
da
P
Td
=32,92 W
T
d
=105 ºC
Figura 4.14 – Diagrama simplificado para o cálculo térmico de semicondutores.
Adequando a equação (4.94) ao diagrama da Figura 4.14, calcula-se a resistência R
da
para o dissipador a ser utilizado para o retificador monofásico SEPIC
1
.
Td
ad
da
P
TT
R
= (4.98)
Com os dados: T
d
=105 ºC, T
d
=105 ºC e P
Td
=32,92 W, calcula-se: R
da
=2,28 ºC/W.
Portanto, o dissipador a ser empregado deverá ter uma resistência térmica com valor
menor do que o valor calculado (2,28 ºC/W).
4.8.2 – Cálculo Térmico dos Semicondutores do Retificador Trifásico Não-
Controlado
O retificador não-controlado (Retif-1) possui somente um componente semicondutor a
ponte trifásica de diodos SKD 25/08 (encapsulado), especificada anteriormente com os
seguintes dados fornecidos pelo fabricante Semikron: T
j
=150 ºC; R
jc
=1,75 ºC/W; R
cd
=0,15
170
ºC/W, V
Don
=2,2 V e perdas totais (P
TdSKD25
) para um corrente de saída de 10,0 A
(P
TdSKD25
=20,0 W).
Ponte retificadora trifásica de diodos SKD 25/08
P
TdSKD25
= 20,0 W {Dado fabricante}
T
j
= 150 ºC {Dado fabricante}
T
c
= 115 ºC {Valor calculado}
T
d
= 112 ºC {Valor calculado}
R
jd
= 1,9 ºC/W (R
jd
= R
jc
+ R
cd
) {Dado fabricante}
Analogamente às considerações feitas para o cálculo térmico dos elementos do
SEPIC
1
(T=T
d
-T
a
=75 ºC), adota-se que T
a
=30 ºC, calcula-se T
d
=105 ºC. Assim, atualizam-
se os dados (T
j
, T
c
e T
d
) conforme a seguir.
Ponte retificadora trifásica de diodos SKD 25/08
P
TdSKD25
= 20,0 W {Dado fabricante}
T
j
= 143 ºC { Valor calculado }
T
c
= 108 ºC {Valor calculado}
T
d
= 105 ºC {Valor calculado}
R
jd
= 1,9 ºC/W (R
jd
= R
jc
+ R
cd
) {Dado fabricante}
Desta forma, através da equação (4.98) e com os dados: T
d
=105 ºC, T
a
=30 ºC e
P
Td
=20,0 W, calcula-se: R
da
=3,75 ºC/W.
4.9 – Perdas Totais no Retificador Trifásico Híbrido
Retificador não controlado (Retif-1):
P
TdSKD25
= 20,0 W {Ponte retificadora trifásica de diodos SKD 25/08}
P
Total
(L
O
) = 55,72 W {Perdas nos indutores do filtro de saída}
P
wRetif-1
= 75,72 W {Perdas totais no Retif-1}
Retificador controlado (Retif-2):
P
Td
= 32,92 W {Perdas totais no dissipador}
P
wIndSEPIC1
= 7,86 W { Perdas no indutores L
1
, L
2
e L
3
}
P
wSnubber
= 7,04 W {Perdas nos snubbers RC e RCD}
P
wSEPIC1
= 47,82 W {Perdas totais no SEPIC
1
}
P
wRetif-2
= 143,46 W {Perdas totais no Retif-2}
171
Retificador trifásico híbrido (RTH):
P
wRTH
= 219,18 W {Perdas totais no RTH}
Cabe salientar que o cálculo das perdas realizado considera condições extremas de
operação (O Retif-1 conduzindo a potência total e o Retif-2 opera com o parâmetro K=2). No
resultados experimentais, apresentados no Capítulo 6, será observado que as perdas totais não
ultrapassam 180 W (82,12 % do valor calculado).
4.10 – Parâmetros Projetados para o Circuito do Retificador Trifásico
Híbrido
Na Tabela 4.1 são mostrados os parâmetros projetados para o circuito do retificador
híbrido, conforme metodologia de projeto desenvolvida neste capítulo.
Tabela 4.1 – Parâmetros do circuito do retificador trifásico híbrido.
Parâmetros do Circuito
Descrição Simbologia Valor
Ponte retificadora trifásica à
diodos
D
1,
D
2,
D
3,
D
4,
D
5
e D
6
SKD2508 /V
Don
=2,2 V
(Semikron)
Indutores de filtro na carga L
O1
e L
O2
22 mH /4HS-450 (Tessin)
Capacitor de filtro na carga C
O
680 uF /450V
Retif-1
Resistência de carga R
O
29,7
Ponte retificadora monofásica à
diodos
D
7,
D
8,
D
9
e
D
10
GBU8J /V
Don
=1,0 V
(Fairchild Semiconductor)
Interruptor controlado S
1
HGTG7N60A4D /V
Con
=2,2 V
(Fairchild Semiconductor)
Interruptores não controlados D
11,
D
12
e
D
13
RHRP860 /V
Don
=1,7V e C
j
=25pF
(Fairchild Semiconductor)
Indutores de entrada L
1
e L
2
2,5 mH /EE 55/20
Indutor de saída L
3
5,0 mH /EE 55/20
Capacitores de acumulação C
1
e C
2
4,4 uF /400V
Snubber RC C
s
e R
s
R
s
=370 /5W, C
s
=500 pF /1,6kV
Retif-2
Snubber RCD D
g
, C
g
e R
g
R
g
=80 k /5W, C
g
=82 nF /1,1 kV
D
g
=1N5408 /V
RRM
=1,0 kV
172
Nas Figuras 4.14 e 4.15 são destacados os circuitos dos retificadores não controlado
(Retif-1) e controlado (Retif-2: Em detalhe o retificador monofásico SEPIC
1
),
respectivamente, conforme descritos na Tabela 4.1.
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
O1
L
O2
V
b
V
c
V
a
N
3
2
1
4
SEPIC
1
SEPIC
2
SEPIC
3
Figura 4.14 – Retificador trifásico de 6 pulsos não controlado (Retif-1).
L
3
D
11
D
12
D
13
D
7
D
8
D
9
D
10
L
1
L
2
C
s
R
s
S
1
C
2
C
1
C
g
R
g
D
g
N
1
4
Figura 4.15 – Retificador monofásico SEPIC
1
(Retif-2).
173
4.11 – Conclusões
Neste capítulo foi discutida a metodologia detalhada de projeto para o retificador
trifásico híbrido tomando como base os equacionamentos e restrições desenvolvidas nos
capítulos 2 e 3.
O retificador não controlado (Retif-1) foi projetado para processar toda a potência
entregue à carga e o retificador controlado (Retif-2) foi projetado para operar com o
parâmetro de controle K=2. Além disso, foi considerada especificamente para o cálculo dos
interruptores (diodos e IGBTs) a hipótese de variação das tensões de alimentação em ±15%, o
que causa também a variação dos esforços de corrente em ±15%. Com estas restrições de foi
possível adequar as equações utilizadas, requerendo uma quantidade mínima de parâmetros e
dados de entrada, facilitando o projeto.
O ponto de operação escolhido para retificador híbrido (com K=1,633) foi
propositalmente analisado em detalhes no Capítulo 2. Portanto, neste capítulo o assunto foi
abordado de uma forma resumida.
174
CAPÍTULO 5
5 – Controle Digital, Implementado Através de VHDL, para
Imposição
das Formas de Onda das Correntes de Entrada
do Retificador Trifásico Híbrido
5.1 – Introdução
Neste capítulo, apresenta-se uma abordagem sucinta sobre Dispositivos Lógicos
Programáveis FPGA (Field Programmable Gate Array), com ênfase nas suas principais
vantagens em relação aos sistemas digitais convencionais e a outros dispositivos lógicos
programáveis utilizados em acionamento e controle. Em seguida, será discutido o
funcionamento dos circuitos analógicos (Aquisição de correntes e sensores de corrente e de
tensão) utilizados na interface entre o circuito de potência e o FPGA. Na seqüência, uma
visão geral do funcionamento do código de controle implementado através da Linguagem de
descrição de Hardware VHDL (Hardware Description Language) e posteriormente uma
descrição detalhada do seu funcionamento analisando os seus sub-programas. O compilador e
o CI programável XC2S200E utilizado para a implementação em FPGA foi adquirido junto a
Xilinx (Versão 6.303i/Spartan2e).
5.2 Dispositivos Lógicos programáveis FPGA com Uso da Linguagem de
Descrição de Hardware VHDL
O acionamento e controle de dispositivos, utilizando circuitos digitais, implementados
de forma convencional, consiste no fato de que a idéia seja expressa através de tabelas
verdade, para circuitos combinatoriais, ou em forma de diagramas de estado, para o caso de
circuitos seqüenciais. Por fim, esta idéia resulta em diagramas esquemáticos, como: Portas
lógicas, pinos de conexões, barramentos, etc. Este tipo de abordagem traz algumas
dificuldades na fase de projeto, em se tratando de circuitos mais complexos, no que diz
respeito à simulação e prototipagem, dentre as quais destacam-se:
Manuseio de uma grande quantidade de dados técnicos de dispositivos
(datasheets);
175
Necessidade de várias placas de testes (proto-boards) para simular um único
circuito, trazendo problemas de arranjo físico dessas placas e conecções
adequadas;
As placas de circuito impresso ou a confecção dos dispositivos de controle
integrado (chips) podem demandar muito tempo para serem confeccionadas.
Além desses problemas, em uma adaptação futura do projeto já concluído, há a
necessidade de se refazer toda a confecção de placas ou chips, demandando tempo e custos.
Com o rápido desenvolvimento dos recursos de concepção de circuitos integrados e de
softwares, tornou-se possível efetuar simulações de circuitos digitais em computadores,
utilizando-se apenas o esquemático (CAD – Computer Aided Design), reduzindo-se o tempo
de desenvolvimento do projeto. Numa época mais recente, surgiram os dispositivos com
lógica programável. Tais dispositivos permitem aos usuários implementar circuitos mais
complexos sem a necessidade dos custos elevados envolvidos na fabricação das estruturas
empregando diretamente o manuseio do silício. Além disso, por serem reprogramáveis, tem-
se uma redução de custo e tempo nas fases preliminares do projeto [52 e 53].
O uso de dispositivos lógicos programáveis consiste basicamente em fazer uma
descrição do circuito a ser implementado, através de um software de descrição de hardware.
Neste caso, restringe-se à Linguagem de Descrição de Hardware VHDL. A descrição poderá
ser feita de forma estrutural ou comportamental. Ou ainda, através de uma descrição
intercalando-se as duas formas, estrutural e comportamental.
A descrição estrutural é uma descrição idêntica ao circuito esquemático, utilizando-se
de bibliotecas específicas do software (Portas AND, OR, NAND, etc.). Já a descrição
comportamental, não está vinculada a um circuito e sim a uma idéia a ser implementada.
Portanto, a descrição comportamental é uma forma trivial de programação, como a linguagem
C++, por exemplo, dando mais liberdade ao projetista na busca de uma otimização do projeto.
A linguagem VHDL é uma linguagem de alto nível, fazendo com que um circuito digital seja
descrito em um grau elevado de abstração, não sendo mais necessário trabalhar em descrição
de baixo nível, como transistores e portas lógicas. Com isso, as metodologias de projetos de
circuitos digitais tornam-se mais simplificadas [53].
O projeto em linguagem descritiva de hardware facilita as modificações e correções de
erros, podendo assim, o projetista ampliar facilmente o seu projeto apenas modificando
algumas linhas de comando. Assim, além de reduzir drasticamente o tempo e o custo de
projeto na fase de simulação, por não haver mais a necessidade de montar um circuito
esquemático, facilita enormemente na fase de projeto e implementação. Uma vez que o
176
projeto já foi descrito, compilado e simulado, a linguagem VHDL configura essa descrição
para trabalhar conforme o que foi descrito e traduz essa descrição para um CI programável
(FPGA). Tais dispositivos são circuitos programáveis compostos por um conjunto de células
lógicas, ou blocos lógicos, alocados em forma de uma matriz.
Circuitos programáveis EPLD (EPROM Programmable Logic Devices) são
componentes que possuem transistores EPROM para possibilitar a sua programação. Os
transistores EPROM são usados para forçar valores nas entradas dos blocos lógicos. As
estruturas FPGAs são EPLDs que permitem-nos implementar aplicações diretamente em
hardware. Diferentemente dos microprocessadores e DSPs (Digital Signal Processor), os
FPGAs são intrinsecamente estruturas paralelas. Elas incluem um conjunto de blocos lógicos
simples (drivers, interruptores, memórias RAM e funções lógicas) que podem ser
interconectadas através de interconexões programáveis para implementar diferentes funções
lógicas, a baixo custo.
Os dispositivos FPGAs, atualmente, ainda são menos requisitados em aplicações
industriais do que os DPSs. Devido o FPGA ter um custo de compra ainda elevado,
comparando-se com o DPS, a sua consolidação no mercado tende a ser mais lenta.
Entretanto, existem trabalhos ressaltando algumas vantagens do FPGA sobre o DSP [54].
O DSP usa arquiteturas especiais para acelerar cálculos repetitivos. A característica
mais importante, especialmente útil em simulação de sistema de potência, é a habilidade de
realizar uma ou mais operações de multiplicação em um único ciclo. Além disso, o DSP
possui arquiteturas de memórias de múltiplo acesso que permitem o processador carregar
simultaneamente vários operandos, tais como, amostra de dados e coeficientes, em paralelo
com uma instrução em andamento. Embora o DSP seja um processador matemático
especializado, e consiga realizar múltiplas instruções em um único ciclo de clock, o seu
processo como um todo é seqüencial (ou série), tornando-se menos eficiente para algoritmos
que dependem duas ou mais avaliações simultâneas. Além disso, consegue processar
geralmente um único canal de entrada (dado externo aquisitado) por vez. Os DSPs mais
modernos já possuem unidades paralelas, conseguem processar até dois canais de dados
simultaneamente.
O FPGA por sua vez, permite que operações distintas como, a aquisição de vários
dados, cálculos matemáticos e avaliação de dados ocorram simultaneamente. Neste sentido
torna-se viável a substituição de circuitos convencionais implementados em eletrônica de
potência para o controle e acionamento de conversores de potência, por dispositivos lógicos
programáveis FPGA. Através de um CI programável (FPGA) e o compilador VHDL pode-se
177
emular a evolução no tempo de funções senoidais, triangulares, dente de serra e outras,
possibilitando-se ainda manipulações algébricas e comparações lógicas destas funções. Tem-
se também a possibilidade de aquisitar sinais externos para compor a lógica implementada em
VHDL. Desse modo pode-se gerar e controlar larguras de pulsos para os circuitos de ataque
de dispositivos semicondutores (Como por exemplo, MOSFETs e IGBTs) de forma
confiável, com redução de tempo e custos [55 e 56].
5.3 – Aspectos Gerais da Lógica de Controle Proposta
Para compor o controle digital proposto, utilizando dispositivo FPGA e linguagem
VHDL, é necessário fazer a amostragem das correntes de entrada i
in1
(ω.t), i
in2
(ω.t) e i
in3
(ω.t)
dos conversores SEPIC
1
, SEPIC
2
e SEPIC
3
, respectivamente, e da corrente de saída i
Retif-1
(ω.t)
do retificador não controlado, e, adicionalmente, é necessário monitorar as tensões de
entrada v
a
(ω.t) na fase “a”, v
b
(ω.t) na fase “b” e v
c
(ω.t) na fase “c”, e as correntes de
entrada i
a1
(ω.t), i
b1
(ω.t) e i
c1
(ω.t) do retificador não-controlado, de acordo com a ilustração
apresentada na Figura 5.1.
Portanto, os circuitos analógicos implementados para proporcionar a interface entre o
dispositivo FPGA e o circuito de potência estão divididos em quatro categorias, sendo
discutidos a seguir:
Circuito 1 (Aquisição de correntes): Compreende três circuitos idênticos para
as correntes i
in1
(ω.t), i
in2
(ω.t) e i
in3
(ω.t) e um circuito semelhante para a
corrente i
Retif-1
(ω.t), confeccionados em quatro placas independentes;
Circuito 2 (Sensores de tensão): É constituído de três circuitos idênticos para
as tensões v
a
(ω.t), v
b
(ω.t) e v
c
(ω.t), confeccionados em uma única placa;
Circuito 3 (Sensores de corrente): É composto de três circuitos idênticos para
as correntes i
a1
(ω.t), i
b1
(ω.t) e i
c1
(ω.t), confeccionados em uma única placa;
Circuito 4 (Circuito de comando dos SEPICs): Compreende três circuitos
idênticos para comandar os conversores SEPIC
1
, SEPIC
1
e SEPIC
3
,
confeccionados em três placas independentes.
178
D
1
D
3
D
5
D
2
D
4
D
6
C
O
R
O
L
O1
L
O2
D
7
D
8
D
9
L
1
L
2
S
1
C
2
C
1
D
10
L
3
D
11
D
12
D
13
D
15
D
16
D
17
L
4
L
5
S
2
C
4
C
3
D
18
L
6
D
14
D
19
D
20
D
21
D
22
D
23
L
6
L
7
S
3
C
6
C
5
D
24
L
8
D
25
D
26
D
27
N
1
N
1
Retif-1
Retif-2
()
ω.tv
a
()
ωtv
b
()
ω.tv
c
()
ω.ti
a
()
ω.ti
b
()
ω.ti
c
(
)
ω.ti
a2
()
ω.ti
b2
()
ω.ti
c2
(
)
ω.ti
1
(
)
ω.ti
2
(
)
ω.ti
3
(
)
ω.ti
O
(
)
ω.tv
O
A/D
()
ω.ti
in2
()ω.ti
in1
A/D
()ω.ti
Retif-1
A/D
Sensor
Sensor
Sensor
()ω.ti
c
()ω.ti
b
()
ω.ti
a
Sensor
Sensor
Sensor
()
ω.tv
a
()
ω.tv
c
()ω.tv
b
Circuito 3:
Sensores de corrente
Sensor
Sensor
Sensor
i
()ω.ti
in1
()ω.ti
in3
A/DSensor
Circuito 1:
Aquisição de
correntes
Circuito 2: Sensores de tensão
F P G A
Xilinx
Spartan 2E
PQ208
Circuito 4:
Comando dos
SEPICs
S
2
S
1
()ω.ti
Retif-1
()ω.ti
Retif-2
Figura 5.1 – Esquema geral do controle digital proposto.
179
Tendo em vista que cada retificador monofásico SEPIC opera de maneira
independente e idêntica aos demais, conectados em suas respectivas fases, “a”, “b” ou “c”,
foi possível implementar o controle digital também de maneira independente para cada fase,
simplificando o entendimento e a construção do código VHDL. Deste modo, será abordado
neste capítulo somente os circuitos e lógicas VHDL implementadas para compor o controle da
fase “a”, conforme o esquema apresentado na Figura 5.2, contendo um pouco mais de
detalhes.
F P G A
Xilinx
Spartan 2E
PQ208
A/D
Serial
7478
Circuito 1:
Aquisição de correntes
i
in1
( )
ω.t
Sensor
Sensor
i
Retif-1
( )
ω.t
1 BIT
CS_0
1 BIT
SCLK_0
SDATA_1
A/D
Serial
7478
1 BIT
CS_1
1 BIT
SCLK_1
1 BIT
1 BIT
1 BIT
S
emiciclo_Va
Circuito 2:
Sensor de tensão
Sensor
()
ω.tv
b
Circuito 3:
Sensor de corrente
1 BIT
S
inal_
i
a1
Sensor
()
ω.ti
a1
Circuito 4:
Comando
SEPIC-1
(Fase A)
1 BIT
P
ULSO
_S
EPIC-1
SDATA_0
Figura 5.2 – Detalhe do esquema do controle digital para a fase “a”.
Antes de abordar a lógica de controle digital propriamente dita, serão discutidos nos
quatro sub-tópicos seguintes, os circuitos de interface 1, 2, 3 e 4, destacados na Figura 5.2.
180
5.3.1 – Circuito de Aquisição das Correntes
A aquisição das correntes i
in1
(ω.t) e i
Retif-1
(ω.t) é realizada através dos circuitos
mostrados nas Figuras 5.3 e 5.4, respectivamente. Ambos os circuitos funcionam de maneira
idêntica e são subdivididos em três estágios: Sensor de corrente, condicionamento e conversão
do dado analógico para digital.
R
6
1
2
3
6
5
4
VDD
V
IN
GND
CS
SCLK
SDATA
AD7478
8 BITS
F P G A
Xilinx
Spartan 2E
PQ208
GND
R
5
330
R
4
SDATA
SCLK
C S
6,25 MHz /5,0V
6,25 MHz /5,0V
nF100
C
M
LM6171
+15V
-15V
+
-
i
s
Sensor de corrente
LA 55-P (Efeito Hall)
AO
95
R
M
+15V
-15V
M
()
t.ωi
1in
LM4040
+5V
446,428 kHz
/5,0V
Filtro de 2ª ordem
V
M
R
1
R
2
k2,2
232pF
C
2
Fp470
C
1
k1
R
3
+15V
330
330
uF 1
C
3
(tântalo)
208
C
4
Fn001
(
)
10A)(0ω.ti
in1
=
50mA)(0i
s
=
)4,75V(0V
M
=
)b2550(S
DATA
=
5Qde
Espiras
=
)4,75V(0V
2
=
V
2
Figura 5.3 – Detalhe do circuito de aquisição da corrente i
in1
(ω.t).
R
6
1
2
3
6
5
4
VDD
V
IN
GND
CS
SCLK
SDATA
AD7478
8 BITS
F P G A
Xilinx
Spartan 2E
PQ208
GND
R
5
330
R
4
SDATA
SCLK
C S
1,25 MHz /5,0V
1,25 MHz /5,0V
nF100
C
M
LM6171
+15V
-15V
+
-
i
s
Sensor de corrente
LA 55-P (Efeito Hall)
AO
95
R
M
+15V
-15V
M
()
t.ωi
1in
LM4040
+5V
50 kHz
/5,0V
Filtro de ordem
V
M
R
1
R
2
k2,8
3,3nF
C
2
Fn2,8
C
1
k1
R
3
+15V
330
330
uF 1
C
3
(tântalo)
0k1
C
4
Fn001
(
)
10A)(0ω.ti
in1
=
50mA)(0i
s
=
)4,75V(0V
M
=
)b2550(S
DATA
=
5Qde
Espiras
= )4,75V(0V
2
=
V
2
Figura 5.4 – Detalhe do circuito de aquisição da corrente i
Retif-1
(ω.t).
181
1) Sensor de corrente: Para a amostrar as correntes i
in1
(ω.t) e i
Retif-1
(ω.t) são
empregados sensores de corrente que operam através do efeito “Hall”, tipo LA-55-P do
fabricante LEM. A opção pelo uso deste componente deve-se a algumas vantagens
oferecidas, como: Isolação galvânica entre o primário e secundário (com uma capacidade de
isolação de até 2,5 kV), uma relação linear excelente entre o primário e secundário (variação
de no máximo 0,15%), corrente de offset de saída praticamente nula (no máximo 200uA),
tempo de resposta menor do 1,0us, ampla faixa de variação de freqüência (0 até 200kHz),
imunidade elevada para ruídos externos, capacidade elevada de corrente (0 a 50A) e a
possibilidade de controlar a sensibilidade do sensor através do enrolamento externo das
espiras. A relação de conversão do primário para o secundário é, respectivamente, de 1 para
K
n
.1000, onde K
n
é a quantidade de espiras enroladas. No secundário do sensor é conectado
uma resistência R
M
em paralelo variando de 10 a 160 convertendo a corrente de saída (i
s
)
em um valor de tensão V
M
adequado para o circuito de condicionamento.
2) Condicionamento: No condicionamento da tensão V
M
é utilizado o amplificador
operacional ultra-rápido LM6171BIN, produzido pelo fabricante National Semiconductors,
para compor um filtro ativo passa-baixas de segunda ordem (anti-aliasing) com ganho
unitário. O filtro foi calculado em uma freqüência de corte menor do que a metade freqüência
de aquisição (f
aq
), evitando que ruídos de comutação seja interpretado como sendo um dado
verdadeiro e conseqüentemente uma ação incorreta do sistema de controle. No entanto, as
ondulações (ripple) de ambas as correntes aquisitadas {i
in1
(ω.t) e i
Retif-1
(ω.t)} não são filtradas,
uma vez que a o controle por histerese empregado necessita do formato original destes sinais.
A tensão (V
2
), após o filtro anti-aliasing, é conectada no pino 3 de entrada do conversor A/D.
3) Conversão do dado analógico para digital
: Em função da técnica de modulação por
histerese escolhida para o controle do conversor SEPIC
1
, torna-se necessário o emprego de
um conversor analógico digital de conversão rápida. Desse modo, optou-se pelo conversor
serial, o A/D7478 de 8 bits fabricado pela Analog Devices. Este dispositivo possibilita a
conversão do dado analógico para digital e a transferência do dado digital para o FPGA a cada
1,0us (f
aq
=1MSPS), o que é seu limite de operação. No entanto, foi possível empregar uma
freqüência de aquisição menor (f
aq
=446,428 kHz para a corrente i
in1
(ω.t) e f
aq
=50 kHz para a
corrente i
Retif-1
(ω.t)). A freqüência de aquisição da corrente i
in1
(ω.t) é bem mais elevada tendo
em vista que a variação deste sinal se dá na freqüência de comutação (acima de 25kHz). Em
contrapartida, a freqüência de aquisição da corrente i
Retif-1
(ω.t) pode ser menor, uma vez que
a variação de i
Retif-1
(ω.t) ocorre na freqüência de 360Hz (Ondulação natural de 6 pulsos).
Duas características importantes deste conversor A/D são destacadas: A primeira, é que o
182
sinal analógico de entrada poderá ser condicionado em uma faixa de variação entre 0 e 5,0 V,
diferentemente de alguns conversores A/D que possuem faixa menores (por exemplo: 0 e 2,5
V), e a segunda, é que o sinal de referência (V
REF
), também de 5,0 V, é regulado internamente
pelo dispositivo, sem a necessidade de uma alimentação externa com esta finalidade. Tais
características diminuem a susceptibilidade à ruídos e erros de quantização do dado
digitalizado.
O processo de aquisição e transferência de dados para o FPGA depende de um
protocolo de comunicação desenvolvido em linguagem VHDL para tal finalidade. Existem
três sinais lógicos (“0” ou “1”) envolvidos, sendo comentados a seguir:
O sinal “CS”, gerado pelo FPGA é enviado para o conversor A/D. Este sinal comanda
a aquisição do dado analógico e determina a freqüência de aquisição (f
aq
) empregada;
O sinal “SCLK”, gerado pelo FPGA é enviado para o conversor A/D, comandando a
transferência do dado digitalizado bit a bit para o FPGA;
O sinal “SDATA” é o dado de saída digitalizado pelo conversor A/D, sendo
transferido para o FPGA bit a bit, sob o comando do sinal “SCLK”.
Maiores detalhes do protocolo de aquisição e transferência de dados para o FPGA
serão apresentados no item 5.4.2.
As grandezas i
s
, V
M
, V
2
e SDATA destacados na Figura 5.3, analogamente para a
Figura 5.4, estão relacionados entre si de forma linear e são calculados pelas equações (5.1),
(5.2), (5.3) e (5.4), respectivamente.
(
)
Qde.
1000
t.ωi
i
Espiras
1in
s
=
(5.1)
sMM
i. RV
=
(5.2)
VV
M2
=
(5.3)
255.
V
V
SDATA
REF
2
=
(5.4)
5.3.2 – Circuito do Sensor de Tensão
O sensor de tensão (mostrado na Figura 5.5) gera um pulso, na freqüência da rede
alimentação (60 Hz), denominado “S
emiciclo_Va
” (valor lógico “0” ou “1”), com a finalidade
identificar a transição entre os semiciclos positivo e negativo da tensão de entrada v
a
(ω.t).
Com este sinal (S
emiciclo_Va
), é realizado o sincronismo da corrente imposta para o retificador
SEPIC
1
com a rede de alimentação, através do código VHDL para prover a correção adequada
183
do Fator de Potência para a fase “a” (Maiores detalhes serão apresentados no item 5.4.5).
O circuito utilizado (Figura 5.5) é composto de um divisor resistivo e um filtro ativo
passa-baixas de segunda ordem (utilizando o amplificador operacional ultra-rápido
LM6171BIN) para condicionar a tensão da rede conectada na entrada positiva do
amplificador operacional comum UA741CN (fabricante ST Microelectronics). O sinal de
saída do amplificador operacional é retificado e em seguida isolado através do opto–acoplador
6N136. Este componente disponibiliza na saída uma corrente de até 16mA e é relativamente
lento, possui um atraso de sinal de 800ns, fato que não causa nenhum problema para esta
aplicação. Na saída do opto-acoplador é conectado um buffer de tensão (fabricado pela
Philips) com quatro canais independentes e por fim um divisor resistivo para garantir que o
sinal de entrada no FPGA não exceda 5,0 V, valor máximo admitido por este dispositivo.
22
R
5
+
-
-15V
+15V
k
12
R
7
4148N1
D
1
UA741CN
AO
60Hz
/ 15V
22
R
9
OPTO - ACOPLADOR
6N136
1
2
3
4
NC 8
7
6
5
NC
470
R
8
k2
R
10
+5V
Fp641
C
3
68
R
12
N125HC74
7k4
R
11
60Hz
/ 4,5V
+5V
Buffer tensão
F P G A
Xilinx
Spartan 2E
PQ208
GND
S
emiciclo_Va
LM6171
+15V
-15V
+
-
AO
Filtro de ordem
R
1
R
2
k2,8
3,3nF
C
2
C
1
0k1
k100
R
3
7k4
R
4
(
)
t.ωv
a
R
a
R
b
00k1
k22
15nF
k
12
R
6
Figura 5.5 – Detalhe do circuito do sensor da tensão de entrada v
a
(ω.t).
5.3.3 – Circuito do Sensor de Corrente
O objetivo do sensor de corrente, mostrado na Figura 5.6, é identificar os intervalos
aonde a corrente de entrada do retificador não-controlado (i
a1
(ω.t)) é nula, durante o período
de rede. O circuito gera um pulso na freqüência de 120 Hz, denominado “I
a1_sensor
”, através
do qual é imposto, via código VHDL, o formato adequado da corrente i
a2
(ω.t) de entrada do
retificador monofásico SEPIC
1
. Maiores detalhes da aplicação do pulso “I
a1_sensor
” serão
apresentados posteriormente no item 5.4.4.
184
Analogamente aos circuitos de aquisição de correntes (item 5.3.1), é utilizado um
sensor de efeito “Hall” para obter a amostra da corrente de entrada i
a1
(ω.t). A corrente de
saída i
s
, no secundário do sensor “Hall”, segue inicialmente através de um filtro passa-baixas
(idêntico ao filtro utilizado no sensor de tensão – Figura 5.5), e em seguida é retificada por um
retificador de precisão composto por dois amplificadores operacionais ultra-rápidos
LM617BN, resultando na corrente i
1
aplicada no terminal de entrada negativa do comparador
UA741CN. A mesma corrente i
1
circula através de um divisor de tensão com uma constante
RC de atraso, gerando a corrente i
2
aplicada no terminal positivo do comparador UA741CN.
Comparando-se estas duas correntes (i
1
e i
2
) e retificando o sinal de saída do comparador
UA741CN, é finalmente obtido o “I
a1_sensor
”, conforme curvas teóricas mostradas na Figura
5.7.
95
LM6171
+15V
-15V
LM6171
+15V
-15V
65k1
R/2
+
-
+
-
68
R
6
N125HC74
B1-Buffer tensão
7k4
R
7
120Hz
/ 4,8V
+15V
-15V
+
-
5k1
R
2
µF0,1
C
5
100
R
3
470
R
5
4148N1
D
4
D
3
102UF
D
2
102UF
i
1
i
2
I
al_sensor
UA741CN
AO
AO
AO
3k3
R
3k3
R
3k3
R
3k3
R
F P G A
Xilinx
Spartan 2E
PQ208
GND
k
1
R
4
LM6171
+15V
-15V
+
-
AO
Filtro de 2ª ordem
R
1
R
2
k2,8
3,3nF
C
2
C
1
0k1
R
a
R
b
00k1
k
22
15nF
+15V
-15V
M
i
s
Sensor de corrente
LA 55-P (Efeito Hall)
()
t.ωi
1a
R
M
i
s
V
M
100nF
C
M
Figura 5.6 – Detalhe do circuito do sensor da corrente de entrada i
a1
(ω.t).
185
30º
90º 150º
210º
330º
360º
i
s
i
2
i
1
I
al_sensor
Figura 5.7 – Detalhe das formas de onda geradas pelo sensor da corrente de entrada i
a1
(ω.t).
É observado que a corrente i
a1
(ω.t), representada pela corrente amostrada i
s
(Figura
5.7), possui derivadas lentas tanto na descida quanto na subida do sinal. Para que haja uma
compensação adequada da corrente i
a1
(ω.t), é necessário que o sensor de corrente identifique o
instante em que a corrente i
a1
(ω.t) começa a sua transição de subida ou descida. Por esta
razão, gerou-se o sinal i
2
a partir de i
1
com uma pequena defasagem entre eles para fins de
comparação e obtenção do pulso “I
a1_sensor
”. Entretanto, foi detectado que a presença de
ruídos resulta na distorção dos sinais i
1
,
i
2
e I
a1_sensor
e conseqüentemente na distorção da
corrente de entrada i
a
(ω.t), sendo necessário portanto uma filtragem adequada.
5.3.4 – Circuito de Comando do SEPIC
1
O pulso de comando “P
ulso_SEPIC1
” do retificador monofásico SEPIC
1
é gerado pelo
FPGA com uma amplitude de 3,3 V, sendo insuficiente para acionar um interruptor de
potência (Mosfet ou IGBT) e, além disso, a referência do FPGA deverá ser isolada da
referência do circuito de ataque, visando a proteção do dispositivo programável. Conforme
Figura 5.8, é utilizado o opto-acoplador HCPL 3180 de velocidade elevada podendo ser
operado em freqüências de até 250kHz, com atraso de sinal de 200ns no máximo. Este
circuito integrado tem a vantagem de reunir várias funções em um único componente, tais
como: Isolação, buffer de corrente e de tensão, e possibilita o acionamento de 10 à 20V. No
entanto, necessita de uma corrente de entrada de no mínimo 10 mA. Por esta razão utilizou-se
186
o CI 74HC125N, alimentado em 5,0 V, para fornecer a corrente necessária para o HCPL
3180.
560
R
1
3,3 V
F P G A
Xilinx
Spartan 2E
PQ208
Pulso_Sepic1
GND
R
4
W2 /22
P
ulso_SEPIC1
N125HC74
+5V
Buffer tensão
HCPL 3180
Opto-acoplador
GND
VCC
+ 15V
1
2
3
4
8
7
6
5
VO
NC
NC
120
R
2
270
R
3
5,0V
P
ulso_SEPIC1
G
S
Z
1
V15
A965N1
15 V
P
ulso_SEPIC1
Figura 5.8 – Circuito de comando para o retificador monofásico SEPIC
1
.
5.4 Descrição do Código VHDL para a Obtenção dos Pulsos de Comando
do SEPIC-1
5.4.1 – Uma Visão Geral do Código VHDL Proposto
A concepção da lógica de controle digital proposta, no ambiente VHDL, está baseada
na reconstrução da equação (2.41), detalhada na Figura 2.8 (Capítulo 2) e representada pela
equação (5.5).
()
(
)
(
)
(
)
ω.tiω.tiω.tiω.ti
αsena2
=
(5.5)
Na equação (5.5), os termos i
a2
(ω.t), i
α
(ω.t) e i
(ω.t) foram redefinidos para padronizar
e viabilizar a construção do código VHDL, conforme a seguir:
A corrente i
a2
(ω.t) teve a sua nomenclatura mudada para R
ef_SEPIC1
(ω.t),
denominada como a “Corrente de referência para o SEPIC
1
”.
Os termos i
α
(ω.t) e i
(ω.t) incorporaram os sinais auxiliares “C
ontrol_Ia1
” e
“C
ontrol_Isen1
,
mostrados respectivamente nas equações (5.6) e (5.7). O termo
i
sen
(ω.t) manteve a sua forma original, conforme (5.8) na seqüência.
(
)
(
)
1Ia_ontrol1-Retif
C.ω.tiω.ti
=
α
(5.6)
()
(
)
(
)
ω.tsen.I.C1ω.ti
1-Retif1senI_ontrol
=
(5.7)
(
)
(
)
ω.tsen.I.Kω.ti
1-Retifsen
=
(5.8)
Substituindo (5.6), (5.7) e (5.8) em (5.5) e agrupando os termos comuns, resultou na
equação (5.9).
187
()
(
)
(
)
1Ia_ontrol1-RetifsenI_ontrol1-Retifef_SEPIC1
C.ω.tiC.ω.ten.sI.Kω.tR
=
(5.9)
Onde:
R
ef_SEPIC1
(ω.t) : Corrente de referência para o SEPIC
1;
K : Parâmetro de Controle
;
I
Retif-1
: Valor médio da corrente de saída do retificador não controlado
;
i
Retif-1
(ω.t) : Valor instantâneo da corrente de saída do retificador não controlado
;
sen(ω.t) : Sinal senoidal de amplitude unitária
;
C
ontrol_Isen1
: Sinal lógico auxiliar (de valor ‘0’ ou ‘1’), insere a descontinuidade na
corrente de referência R
ef_SEPIC1
(ω.t) para valores de K<2 {conforme equação
(2.36), no Capítulo 2)
;
C
ontrol_Ia1
: Sinal lógico auxiliar (de valor ‘0’ ou ‘1’), identifica se a corrente de
entrada do retificador não controlado é nula, por exemplo a corrente i
a1
(ω.t) na fase
“a”.
Conforme discutido no tópico 5.3.2, a aquisição e das correntes i
in1
(ω.t) e i
Retif-1
(ω.t) é
realizada em 8 bits. Assim, considerando os termos da equação (5.9) com valores já
digitalizados, obtém-se (5.10) que foi utilizada na construção do código VHDL, cujo esquema
é apresentado na Figura 5.9.
()
(
)
(
)()
1Ia_ontrol1-RetifsenI_ontrolsen_unit1-Retifef_SEPIC1
C.niC.n.InI.KnR
=
(5.10)
Onde:
n : Índice de uma seqüência de dados;
R
ef_SEPIC1
(n) : Corrente de referência para o SEPIC
1
(variável de 8 bits)
;
K : Parâmetro de Controle
(constante de 8 bits)
;
I
Retif-1
(n) : Valor médio da corrente de saída do Retif-1 (variável de 8 bits)
;
i
Retif-1
(n) : Valor instantâneo da corrente de saída do Retif-1 (variável de 8 bits)
;
I
sen_unit
(n) : Sinal senoidal de amplitude unitária
(variável de 8 bits)
;
C
ontrol_Isen1
: Sinal lógico auxiliar de 1 bit;
C
ontrol_Ia1
: Sinal lógico auxiliar de 1 bit.
O código VHDL desenvolvido, é composto por 5 sub-programas, descritos em
linguagem VHDL, sendo que cada sub-programa gera um componente (circuito digital) a ser
devidamente alocado no dispositivo FPGA. Os componentes gerados foram denominados,
“A” (Protocolo de aquisição), “B” (Gera a senóide de referência), “C” (Controle da
corrente de referência) “D” (Gera a corrente de referência para o SEPIC
1
), e “E”
(Modulador histerese), conforme o diagrama mostrado na Figura 5.9.
188
0
360º
60Hz
360º
180º
0
1
1
Circuito 2: Sensor de tensão
+
-
C
ontrol_Ia1
C
ontrol_Isen
F P G A
Calcula o valor
médio
8 BITS
K
1 BIT
1 BIT
11
1
1
1
11
0
0
0
8 BITS
I
sen_unit
(n)
1
Sincronização
com a rede
8 BITS
I
a1_sensor
Componente B:
Gera a senóide de referência
Componente D:
Gera a corrente de referência para o SEPIC1
Componente A:
Protocolo de aquisição
8 BITS
Componente C:
Controle do formato da
corrente de referência
0
360º
(
)
Kω∆t
0
360º
Retificador híbrido - Fase "a"
(
)
ω.ti
a
()
ω.ti
a1
N
()
ω.tv
a
+
-
C
O
L
O1
()
ω.ti
a2
SEPIC
1
N
L
O2
(
)
ω.tv
O
R
O
(
)
ω.ti
1-Rect
(
)
ω.ti
2-Rect
(
)
ω.ti
a1
()
ω.tv
a
Sensor
()
ω.ti
in1
Circuito 1:
Aquisição
de correntes
CÓDIGO VHDL: Modulação por histerese
3,3 V
P
ulso_SEPIC1
15 V
P
ulso_SEPIC1
00000000
00000010
11111100
11111111
Tabela de dados
8 BITS
Componente E:
Modulador
Histerese
a
S
emiciclo_Va
1 BIT
8 BITS
I
sen_unit
(n)
i
Retif-1
(n)
I
Retif-1
(n)
i
Retif-1
(n)
I
sen
(n)
()
nI
sen
(
)
nI
K.I
Retif-1
(n)
K.I
Retif-1
(n)
R
ef_SEPIC1
(n)
I
sen
(n)
I
in1
(n)
SDATA_0
SCLK_0
CS_0
SDATA_1
SCLK_1
CS_1
1 BIT
1 BIT
1 BIT
A/D
7478
8 Bits
I
in1
(SEPIC
1
Fase A)
30º
210º
360º
0
01
11
150º
330º
Circuito 3: Sensor de corrente
1 BIT
I
Retif-1
(Ponte de
diodos)
Circuito 4:
Comando SEPIC
1
1 BIT
1 BIT
Sensor
Sensor
Sensor
S
emiciclo_Va
S
emiciclo_Va
1 BIT
1 BIT
1 BIT
30º
210º
360º
ω∆t30
o
+
150º
0
0
1
11
330º
(
)
Kω∆t
11
11
1
1
1
0
0
0
A/D
7478
8 Bits
1 BIT
8 BITS
Figura 5.9 – Uma visão geral do código VHDL proposto.
189
Os quatro circuitos auxiliares para interface do retificador híbrido (Sensor de tensão,
sensor de correntes, aquisição de correntes e comando SEPIC
1
) com o dispositivo FPGA estão
também representados na Figura 5.9, dando uma visão completa e detalhada do esquema de
controle desenvolvido para a fase “a”. Cabe esclarecer que o código VHDL é ativado e
desativado externamente por dois seletores manuais SW1 e SW2 (ON, OFF) disponíveis no
módulo FPGA, que geram respectivamente os sinais lógicos C
mdo_Aquisição
(Comando
Aquisição) e C
mdo_SEPIC
(Comando SEPIC). O sinal C
mdo_Aquisição
ativa simultaneamente os
componentes “A”, “B”, “C” e “D”. A partir de então, a corrente de referência R
ef_SEPIC1
(n) e
a corrente de entrada I
inl
(n) do SEPIC
1
serão geradas e estarão disponíveis para o componente
“E”. Entretanto, os pulsos de comando para o SEPIC
1
só serão gerados a partir do instante
em que o componente “E” (Modulador Histerese) for ativado pelo sinal C
mdo_SEPIC
. A seguir
será realizada uma descrição detalhada das funções de cada componente, “A”, “B”, “C”,
“D” e “E”, respectivamente, nos tópicos 5.4.2, 5.4.3, 5.4.4, 5.4.5 e 5.4.6.
5.4.2 – Componente A: Protocolo de Aquisição de Correntes
O componente “A” (protocolo de aquisição) tem a finalidade de comandar a aquisição
das correntes i
Retif-1
(ω.t) e i
in1
(ω.t) e de fazer a transferência dos dados digitalizados pelos
conversores A/D serial (Figuras 5.3 e 5.4), para o FPGA de forma simultânea. Em seguida os
dados digitais i
Retif-1
(n) e i
in1
(n) de 8 bits serão transferidos para os componentes “C” e “D”,
respectivamente, para o devido processamento.
As lógicas de aquisição das correntes i
Retif-1
(ω.t) e i
in1
(ω.t) são idênticas, portanto, será
abordada somente a lógica de aquisição da corrente i
in1
(ω.t).
De acordo com a figura 5.10, a rotina de aquisição de dados é subdividida em etapas,
descritas a seguir. Cada etapa contempla um ou mais estados, denominados S
0
, S
1
,
S
2
e S
3
:
- Início: Nesta etapa, o componente “A”, encontra-se desligado. Os sinais CS_1 e o
trem de pulsos SCLK_1 possuem estado lógico “0”. Ao final desta etapa, na transição do
estado S
0
para S
1
, o componente “A” é ativado através do sinal C
mdo_aquisição
. O sinal CS_1
assume o estado lógico “1” levando o conversor A/D para o modo de espera (T
espera
) e o trem
de pulsos SCLK_1 também é ativado.
- Aquisição e conversão de dados A/D: Após um intervalo de tempo de 360 nano-
segundos (T
espera
=360ns), o sinal CS_1 é comandado para o estado lógico “0”, na transição do
estado S
1
para o estado S
2
, dando início à aquisição do dado analógico. A aquisição do dado
só ocorre de fato se no instante em que o sinal CS_1 assumir o valor “0” o sinal SCLK_1
estiver em estado lógico “1”. Por esta razão estes dois sinais encontram-se defasados. Após o
190
término da aquisição do dado analógico, tem-se o início da digitalização deste dado, realizada
durante o estado S
2
.
- Transferência de dados para o FPGA: Esta etapa tem início ainda no estado S
2
. A
partir do instante em que o sinal CS_1 assume o estado lógico “0”, a cada transição negativa
do sinal SCLK_1 (estado lógico “1” para “0”) ocorre a transferência do bit disponível no
canal de saída SDATA_1 do A/D para o FPGA. Entretanto, os quatro bits transferidos durante
o estado S
2
possuem sempre valor lógico “0” e são descartados. Desta forma, a transferência
de dados válidos para o FPGA tem início na transição do estado S
2
para S
3
, a partir da qual o
dado digital é transferido bit a bit, do mais significativo (D
7
) para o menos significativo (D
0
),
para o FPGA sob o comando do trem de pulsos SCLK_1. Neste exemplo, são mostradas a
digitalização e transferência do número 63 para o FPGA. Após o término da transferência do
dado menos significativo (D
0
), o sinal lógico CS_1 assume novamente o estado lógico “1”, na
transição do estado S
3
para S
1
, comandando o conversor A/D para o modo de espera até o
próximo comando de aquisição.
Dado disponível
para o
processamento
C
mdo_Aquisição
1 BIT
SW1
Digilent DIO4
Peripheral Board
Início
Aquisição e
conversão
A/D
Transferência de
dados para o FPGA
S
0
S
2
S
3
T
aq
=2,24us
1,16us
t
1
t
2
t
3
680ns
( f
aq
=446,428 kHz )
S
1
0
000
SCLK_1
CS_1
SDATA_1
D
7
D
6
D
5
D
3
D
2
D
1
D
0
00
1
1
1111
D
4
Intervalo
de espera
S
1
S
2
00111111
T
espera
00111111
T
espera
320ns
320ns
t
0
t
4
T
SCLK
=160ns
Figura 5.10 – Lógica de aquisição das correntes.
191
- Dado disponível para o processamento: Nesta etapa (estado S
1
), o dado digital
está disponível no FPGA para o processamento.
É importante mencionar que o funcionamento de todo o código VHDL está vinculado
a um oscilador (pulso de clock) de freqüência de 50MHz (Período de 20ns). Portanto, todos
os intervalos de tempo que se deseja controlar, tais como: Modo de espera (T
espera
), período
do trem de pulsos SCLK_1 (T
SCLK
) e período de aquisição (T
aq
), deverão ser múltiplos de
20ns. Isto implica na necessidade de se fazer ajustes de algumas grandezas, como por
exemplo, a freqüência de comutação (f
S
) e freqüência de aquisição (f
aq
). Existem duas
restrições que devem ser obedecidas durante a construção do protocolo de aquisição para que
o conversor A/D 7478 funcione corretamente, descritas a seguir:
O período do SCLK_1 (T
SCLK
) deve ser escolhido entre 50ns e 100us;
O intervalo de espera (T
espera
) não poderá ser menor do que 50ns.
5.4.3 – Componente B: Gera a senóide de referência
O componente “B” tem como função gerar um sinal senoidal S
en_unit
(n) com uma
amplitude unitária de 8 bits e transferir este sinal para o componente “D” devidamente
sincronizado com a rede de alimentação. Este sinal foi gerado inicialmente na forma
analógica através de um software matemático. Posteriormente, fez-se amostras a cada 20us
totalizando 417 valores, durante o semiciclo positivo da senóide {sen(ω.t)}. Estes valores
foram convertidos em 8 bits, resultando em uma tabela dados a qual foi inserida no código
VHDL. Assim, com uma lógica de seleção apropriada e com o uso de contadores lógicos, o
componente “B” seleciona um novo valor nesta tabela de dados, a cada intervalo de 20us,
possibilitando a reconstrução da função sen(ω.t) dentro do dispositivo FPGA. Conforme
discutido no tópico 5.3.3, o sensor de tensão gera o sinal “S
emiciclo_Va
” com nível lógico “0”
quando a tensão v
a
(ω.t) está no semiciclo positivo e nível lógico “1” para v
a
(ω.t) no semiciclo
negativo. Portanto, o sinal S
emiciclo_Va
tem a função de zerar todos os contadores lógicos e
reiniciar a seleção de dados da tabela a cada transição positiva e negativa do sinal S
emiciclo_Va
,
proporcionando a sincronização correta do sinal S
en_unit
(n) com a rede.
192
5.4.4 – Componente C: Controle do Formato da Corrente de Referência para o
SEPIC
1
O componente “C” tem como objetivo identificar os intervalos de tempo nos quais a
corrente de entrada i
a1
(ω.t) do retificador não controlado é nula, analisando-se as bordas de
subida e descida do pulso “I
a1_sensor
” (obtido através do sensor de corrente circuito mostrado
na Figura 5.6), e gerar dois sinais lógicos auxiliares “C
ontrol_Isen1
” e “C
ontrol_Ia1
” que são
combinados com o propósito de controlar o formato do sinal de referência de corrente
R
ef_SEPIC1
(n) para o componente “D” (será discutido no tópico 5.4.4).
A largura destes sinais lógicos auxiliares, “C
ontrol_Isen1
” e “C
ontrol_Ia1
”, depende do
intervalo de descontinuidade “ω.t(K)” (varia em função do parâmetro “K”), calculado pela
equação (2.36) apresentada no capítulo 2. Assim, conforme Figuras 5.9 e 5.11, o sinal
“C
ontrol_Ia1
” receberá sinal lógico “1” se {30°+ω.t(K)}<ω.t<{150°-ω.t(K)} ou se
{210°+ω.t(K)}<ω.t<{330°-ω.t(K)}, senão “C
ontrol_Ia1
” receberá sinal lógico “0”.
Adicionalmente, o sinal “C
ontrol_Isen1
” receberá sinal lógico “0” se
30°<ω.t<{30°+ω.t(K)}, ou se {150°-ω.t(K)}<ω.t<150°, ou se 210°<ω.t<{210°+ω.t(K)},
ou se {330°-ω.t(K)}<ω.t<330°, senão, receberá sinal lógico “1”.
Componente C:
Controle do formato da
corrente de referência
30º
210º
360º
ω∆t30
o
+
150º
330º
()
Kω∆t
ω∆t210
o
+
ω∆t150
o
ω∆t330
o
0
0
1
11
11
11
1
1
1
0
0
0
Componente D:
Gera a corrente
de referência
para o SEPIC1
C
ontrol_Isen
C
ontrol_Ia1
1 BIT
1 BIT
Circuito 3:
Sensor de
corrente
1 BIT
I
a1_sensor
Figura 5.11 – Esquema geral de funcionamento do componente “E” (Modulador Histerese).
193
5.4.5 – Componente D: Gera a Corrente de Referência para o SEPIC
1
O componente “D” ocupa a função de gerar a corrente de referência para o conversor
SEPIC
1
. Esta lógica é representada pela equação (5.10), onde os sinais de entrada são: A
corrente instantânea i
Retif-1
(n) de saída do retificador não controlada (gerada pelo componente
“A”), o sinal senoidal I
sen_unit
(n) de amplitude unitária (gerada pelo componente “B”) e os
sinais lógicos C
ontrol_Isen1
e C
ontrol_Ia1
(gerados pelo componente “C”), os quais são processados
para compor o sinal de saída R
ef_SEPIC1
(n)
.
Conforme ilustrado na Figura 5.9, a corrente i
Retif-1
(n) ao entrar no componente “D” ,
tem o seu valor médio I
Retif-1
(n) calculado e multiplicado pelo parâmetro de controle “K” e
pela senóide I
sen_unit
(n), sincronizada com a rede. Isto resulta no sinal senoidal I
sen
(n) com a
sua amplitude corrigida. Em seguida, é multiplicado pelo sinal lógico C
ontrol_Isen1
gerando o
sinal I
sen
(n), com a descontinuidade ω.t(K) já inserida . Retomando a corrente i
Retif-1
(n),
após sair do componente “A”, ao entrar no componente “D” é multiplicada pelo sinal lógico
C
ontrol_Ia1
, resultando no sinal I
(n). Finalmente, subtraindo o sinal I
(n) de I
sen
(n) obtém-se o
sinal corrente de referência R
ef_SEPIC1
(n) para o SEPIC
1
.
5.4.6 – Componente E: Modulador Histerese
Tomando como referência a análise teórica apresentada no Capítulo 3, tratar-se-à neste
tópico da lógica implementada para realização da modulação por histerese digital, aplicada no
controle do retificador monofásico SEPIC
1
.
Conforme Figuras 5.9 e 5.12, o componente “E” recebe três sinais: A corrente de
referência R
ef_SEPIC1
(n), gerada pelo componente “D”, a corrente de entrada I
in1
(n) do SEPIC
1
a ser controlada, gerada pelo componente “A”, e o sinal lógico S
emiciclo_Va
, gerado pelo sensor
de tensão de entrada. O sinal S
emiciclo_Va
é utilizado para que a partida e o desligamento do
SEPIC
1
ocorra somente nos instantes em que a tensão de entrada v
a
(ω.t) cruzar por zero. Esta
ação preserva a integridade do sistema devido os níveis reduzidos de energia envolvidos nesta
condição de operação.
Na Figura 5.12, é observado que ao comandar o seletor SW2 para a posição ON, o
sinal C
mdo_SEPIC
assume o estado lógico “1” e ativa o componente “E”. Entretanto, a lógica de
modulação só começa a funcionar assim que ocorre a transição (de “1” para “0”) do sinal
S
emiciclo_Va
, garantindo a partida do SEPIC
1
no instante em v
a
(ω.t) é nula. O P
ulso_SEPIC1
é
gerado impondo-se a modulação por histerese da corrente de entrada I
in1
(n) em torno do sinal
de referência R
ef_SEPIC1
(n).
194
F P G A
T
r
=16,67ms
( f
r
=60 Hz )
t
ON
T
s
30º
60º
90º
120º
150º
180º
210º
240º
270º
300º
330º
360º
P
ULSO
_S
EPIC-1
1 BIT
1
0
Componte E: Modulador Histerese
Comparador
I
in1
(n)
8 BITS
Circuito 4:
Comando
SEPIC
1
S
emiciclo_Va
1 BIT
0
1 01
Circuito 2:
Sensor de
tensão
8 BITS
Componente D:
Gera a corrente de
referência para o
SEPIC1
Componente A:
Protocolo de
aquisição
R
ef_SEPIC1
(n)
C
mdo_SEPIC
1 BIT
SW2
Digilent DIO4
Peripheral Board
Figura 5.12 – Esquema geral de funcionamento do componente “E” (Modulador Histerese).
195
A lei de controle da modulação por histerese é composta pelos estados E
0
, E
1
,
E
2
, E
3
E
4
, detalhados na Figura 5.13.
T
s
(m-1)
E
1
E
2
E
3
E
4
E
0
E
0
T
ON
t
1
t
2
t
3
T
s
(m)
T
s
(m+1)
T
OFF
T
s
(m+2)
T
ON
T
OFF
P
ulso_SEPIC1
Limite
inferior
I
in1
(n)
Figura 5.13 – Detalhe de funcionamento da modulação por histerese digital proposta.
O intervalo de tempo T
ON
ocorre durante o estado E
0
e o P
ulso_SEPIC1
recebe sinal lógico
“1”. Este intervalo de tempo é controlado através de um contador lógico crescente, que
determina exatamente o instante da transição para o estado E
1
, evitando erros do comparador
associados ao processo de aquisição, conforme discutido no Capítulo 3. Nos estados E
1
e E
3
ocorrem as transições de comutação ON para OFF (P
ulso_SEPIC1
recebe sinal lógico “0”) e OFF
para ON (P
ulso_SEPIC1
recebe sinal lógico “1”), respectivamente. Neste estados, não existe
atuação do comparador, os intervalos de tempo são controlados por contadores lógicos, com o
objetivo de evitar uma atuação inadequada do controle devido à presença de ruídos de
comutação. O intervalo de tempo T
OFF
ocorre durante o estado E
2
. Este intervalo de tempo é
controlado pelo comparador dentro da seguinte lógica: Se I
in1
(n)R
ef_SEPIC1
(n), P
ulso_SEPIC1
receberá sinal lógico “0”, senão, se I
in1
(n)<R
ef_SEPIC1
(n), P
ulso_SEPIC1
receberá sinal lógico “1”
e o estado muda para E
3
. Finalmente, o estado E
4
tem a função de verificar se o R
ef_SEPIC1
(n)
teve o seu valor alterado durante o estado E
3
, dentro da seguinte lógica: Se
I
in1
(n)R
ef_SEPIC1
(n), o estado muda para E
0
, senão, se I
in1
(n)<R
ef_SEPIC1
(n), o estado E
4
é
mantido. O P
ulso_SEPIC1
recebe somente sinal lógico “1” no estado E
4
. Assim, o
comportamento dinâmico inerente da modulação por histerese analógica é perfeitamente
garantido.
196
A seguir, na Figura 5.14, é mostrada a máquina de estados que descreve o
funcionamento do modulador na sua forma completa, incluindo os estados E
OFF
e E
Start
.
E
1
E
4
E
3
E
2
E
0
Start O
FF
Figura 5.14 – Funcionamento da máquina de estados do componente “E” (Modulador
Histerese).
Na ocorrência de uma sobrecorrente no SEPIC, o funcionamento da máquina estados
do modulador histerese é interrompida e o sistema assume o estado E
OFF
(Desligado). Nesta
condição, o P
ulso_SEPIC1
recebe sinal lógico “0”.
O procedimento para o restabelecimento do sistema é desativar o componente “E”
através do seletor manual externo SW2 (ON, OFF). Assim, o sinal lógico C
mdo_SEPIC
recebe
valor “0” e a máquina de estados do modulador assume o estado de espera E
Start
, até que seja
ativado novamente.
5.5 – Otimização da Estratégia de Controle
A estratégia de controle detalhada anteriormente, foi devidamente avaliada em testes
experimentais e o seu objetivo alcançado. No entanto, entendeu-se que seria possível e viável
otimizá-la. Deste modo, serão apresentadas neste tópico algumas modificações
implementadas para código VHDL, que simplificou tanto o software quanto o hardware
empregado no controle do retificador híbrido (Figura 5.15).
Na versão anterior (Figura 5.9) foi mostrado que todo o código está baseado nas
expressões matemáticas (5.9) e (5.10). Assim, a otimização do código está relacionada com a
simplificação destas equações. A equações (5.9) é redefinida pela (5.11).
(
)
(
)
ω.t.SI.Kω.tR
unitinal_Ref1_1-Retifef_SEPIC1
=
(5.11)
Sendo que:
197
()
()
()
π
+
π
π
+
π
=
ω.t intervalo ,ω.tsen
tω.
6
.11
ω.ttω.
3
.2
t;ω.
6
.5
ω.ttω.
6
:se ,
K
1
ω.tsen
ω.tS
unitinal_Ref1_
(5.12)
Onde:
R
ef_SEPIC1
(ω.t) : Corrente de referência para o SEPIC
1;
S
inal_Ref1_unit
(ω.t) : Sinal de referência com amplitude unitária
;
K : Parâmetro de Controle
;
I
Retif-1
: Valor médio da corrente de saída do retificador não controlado
.
Com a substituição da expressão sen(ω.t) {na equação (5.9)} pela expressão
S
inal_Ref1_unit
(ω.t), os sinais auxiliares “C
ontrol_Ia1
” e “C
ontrol_Isen1
” foram descartados, tendo em
vista que o formato da referência de corrente para o SEPIC
1
já está implícito na expressão
S
inal_Ref1_unit
(ω.t). Na seqüência a equação (5.11) é reescrita pela (5.13), considerando os seus
termos com valores digitalizados em 8 bits, utilizada na otimização do código VHDL, cujo
funcionamento é ilustrado na Figura 5.15.
(
)
(
)
(
)
n.SnI.KnR
unitinal_Ref1_1-Retifef_SEPIC1
=
(5.13)
Onde:
R
ef_SEPIC1
(n) : Corrente de referência para o SEPIC
1
(variável de 8 bits)
;
S
inal_Ref1_unit
(n) : Sinal de referência com amplitude unitária
(variável de 8 bits)
;
K : Parâmetro de Controle
(constante de 8 bits)
;
I
Retif-1
(n) : Valor médio da corrente de saída do Retif-1 (variável de 8 bits)
.
Com a eliminação dos sinais auxiliares “C
ontrol_Ia1
” e “C
ontrol_Isen1
”, o componente “C”
(no código VHDL) e o circuito sensor de corrente discutido no tópico 5.3.3, foram
desativados. As lógicas que compõem os componentes “A”, “B” e “E” não tiveram
modificações em relação ao esquema anterior (Figura 5.9). No entanto, observa-se que os 417
valores de 8 bits que compõem a “tabela de dados” no componente “B” são amostras da
equação (5.12) {S
inal_Ref1_unit
(ω.t)} e não mais da senóide {sen(ω.t)}. O componente “D”
realiza duas funções bastante simples: Inicialmente a corrente i
Retif-1
(n) é filtrada, para
eliminar o ripple de 360Hz, e depois o valor de saída do filtro I
Retif-1
(n) é multiplicado pelo
198
60Hz
360º
180º
0
11
Circuito 2: Sensor de tensão
Retificador híbrido - Fase "a"
()
ω.ti
a
()
ω.ti
a1
N
()
ω.tv
a
+
-
C
O
L
O1
()
ω.ti
a2
SEPIC
1
N
L
O2
(
)
ω.tv
O
R
O
(
)
ω.ti
1-Rect
(
)
ω.ti
2-Rect
()
ω.tv
a
Sensor
()
ω.ti
in1
3,3 V
P
ulso_SEPIC1
15 V
P
ulso_SEPIC1
Componente E:
Modulador
Histerese
i
Retif-1
(n)
Circuito 4:
Comando SEPIC
1
1 BIT
S
emiciclo_Va
8 BITS
Circuito 1:
Aquisição
de correntes
SDATA_1
SCLK_1
CS_1
1 BIT
1 BIT
1 BIT
A/D
7478
8 Bits
F P G A
CÓDIGO VHDL: Modulação por histerese
S
emiciclo_Va
S
inal_Ref1_unit
(n)
Sincronização
com a rede
Componente B:
Gera a corrente de referência com amplitude
unitária
Componente D:
Gera a corrente de referência para o SEPIC1
00000000
00000010
11111100
11111111
Tabela de dados
I
Retif-1
(n)
I
sen
(n)
I
in1
(n)
Componente A:
Protocolo de aquisição
1 BIT
SDATA_0
SCLK_0
CS_0
1 BIT
A/D
7478
8 Bits
1 BIT
S
emiciclo_Va
1 BIT
1
0
360º
R
ef_SEPIC1
(n)
8 BITS
8 BITS
K
8 BITS
1 BIT
8 BITS
I
in1
(SEPIC
1
Fase A)
1 BIT
Filtro IIR
8 BITS
I
Retif-1
(Ponte de diodos)
Sensor
Sensor
A
S
inal_Ref1_unit
(n)
Figura 5.15 – Uma visão geral do código VHDL simplficado.
199
parâmetro “K” e pelo sinal S
inal_Ref1_unit
(n), resultando na corrente de referência R
ef_SEPIC1
(n)
para o SEPIC
1
.
O componente “D”
foi inteiramente desenvolvido com os núcleos parametrizáveis de
somadores, registradores e multiplicadores presentes na biblioteca System Generator,
conforme Figura 5.16. Esta biblioteca permite o projetista desenvolver lógicas e filtros
digitais no ambiente MatLaB/Simulink simplesmente conectando os núcleos parametrizáveis
para compor um diagrama de blocos previamente definido. Por fim, é gerado um arquivo com
extensão ngc, contendo a lógica desenvolvida, o qual é adicionado aos demais arquivos que
compõem o código VHDL, possibilitando acessá-lo dentro do ambiente de programação da
Xilinx como um componente do tipo Black_Box (permite somente o acesso às entradas e
saídas definidas no ambiente MatLaB/Simulink). Para gerar o componente Black_Box é
necessário definir uma taxa de amostragem (período de aquisição) única para as entradas e
informá-la na biblioteca System Generator, assim como o período do oscilador (pulso de
clock) disponível no dispositivo FPGA (neste caso 20ns).
1
COMPNENTE E
Modulador Histerese
Resource
Estimator
xlmult
z
-2
a
b
(ab)
MULT2
xlmult
z
-2
a
b
(ab)
MULT1
fpt dbl
GATEWAY OUT
(Ref_SEPIC1)
dbl fpt
GATEWAY IN
(Sinal_Ref1_unit)
Entrada Saída
FILTRO PASSA-BAIXAS
xlconvertcast
CONVERT
1.63281
CONSTANT
(Parametro K)
System
Generator
2
COMPONENT B
Gera refencia com
amplitude unitária
1
COMPONENTE A
Protocolo de Aquisição
(iRetif-1)
Figura 5.16 – Componente “D”: Gera a corrente de referência para o SEPIC
1
.
Na Figura 5.16, o parâmetro “K” é definido no bloco constante (CONSTANT) com um
período de amostragem de 20us e uma resolução de 8 bits, sendo um bit inteiro e sete bits
fracionários, resultando em um valor decimal de K=1,63281.
O sinal de referência S
inal_Ref1_unit
(n), é gerado pelo componente “B” com um período
de amostragem de 20us (definido no tópico 5.4.3) e uma resolução de 8 bits inteiros, sendo
portanto necessária a sua conversão para 8 bits fracionários para que se torne de fato um sinal
com amplitude unitária , realizada pelo bloco de entrada (GATEWAY IN).
A corrente i
Retif-1
(n) é gerada pelo componente “A” também com um período de
amostragem de 20us (conforme definido no tópico 5.3.1). O filtro digital passa-baixas
200
aparece no componente “D” como um sub-componente e será analisado em detalhes
posteriormente. Os dois blocos multiplicadores (MULT1 e MULT2) foram definidos para
mostrar os resultados com precisão total, sem limitar a quantidade de bits dos seus resultados
de saída. No entanto, o bloco de conversão de dados (CONVERT) limita o valor de saída em
8 bits inteiros, resultando em uma precisão suficiente para o sinal gerado “R
ef_SEPIC1
”,
destacado bloco de saída (GATEWAY OUT). Finalmente, o sinal R
ef_SEPIC1
(n) (corrente de
referência para o SEPIC
1
) é enviado para o componente “E”.
A descrição em linguagem VHDL (código fonte) dos componentes “A”, “B”, “D” e
“E”, (Figura 5.15) é apresentada em detalhes Apêndice A.
5.5.1 – Filtro Digital
A função de transferência (ou de ganho) H(z) de um filtro digital genérico, com uma
entrada X(z) e uma saída Y(z) é descrito através da equação (5.14).
N
N
2
2
1
1
M
M
2
2
1
10
za...zaza1
zb...zbzbb
)z(X
)z(Y
)z(H
+++
+++
==
(5.14)
Manipulando a equação (5.14) obtém-se a equação a diferenças da saída Y(z)
conforme equação (5.15)
+
+++++
=
)z(Y.z.a...)z(Y.z.a)z(Y.z.a
)z(X.z.b...)z(X.z.b)z(X.z.b)z(X.b
)z(Y
N
N
2
2
1
1
M
M
2
2
1
10
(5.15)
Este sistema é representado pelo diagrama mostrado na Figura 5.17, utilizando-se da
forma direta de realização, ou seja, da mesma forma que se interpreta a equação a diferenças
(5.15) que representa o filtro digital.
Na representação direta, os numeradores e denominadores da função de transferência
são realizados usando quantidades separadas de elementos de atraso, onde os elementos de
atraso são representados por z
-1
e representam o atraso relativo a um período de amostragem.
Estes elementos são implementados usando-se estruturas de memória, usualmente
registradores.
Dependendo da aplicação e/ou tamanho do sistema, é conveniente aplicar formas de
realização em diagrama de blocos mais otimizadas (por exemplo: Forma canônica e paralela),
que reduzem a quantidade de elementos de atraso z
-1
e de erros de truncamento, evitando que
o sistema se torne instável (significa um pólo fora do círculo unitário).
201
Z
-1
Z
-1
Z
-1
Z
-1
Z
-1
Z
-1
X(z)
b
0
Y(z)
+
+
-
b
1
b
2
b
m
a
n
a
1
a
2
.
.
.
.
.
.
Figura 5.17 – Diagrama de blocos da realização direta do filtro digital genérico.
Uma análise mais detalhada de H(z) mostra duas possibilidades de implementação do
filtro digital [57], discutidas na sequência. Para facilitar a análise será considerado um
sistema H
1
(z) de primeira ordem, definido pela equação (516) e a saída Y
1
(z) pela (5.17). O
sistema H
1
(z) é uma condição particular de H(z):
1
1
1
10
1
1
1
za1
zbb
)z(X
)z(Y
)z(H
+
+
==
(5.16)
)z(Y.z.a)z(X.z.b)z(X.b)z(Y
1
1
11
1
1101
+=
(5.17)
1) Quando existe pelo menos um pólo não nulo de H
1
(z) {significa que coeficiente
a
1
no denominador da equação (5.16) é maior do que zero}. Neste caso, a resposta
do sistema H
1
(z) ao impulso unitário resulta em uma quantidade infinita de termos,
calculada pela equação (5.18). Um sistema com tal característica é denominado de
“resposta ao impulso infinita” (IIRInfinite Impulse Response).
(
)
(
)
{
}
(
)
(
)
nu.a.1n.bn.b)n(h
n
1101
δ+δ=
(5.16)
Sendo que:
()
=
=δ
0n 0,
0n ,1
n
(5.17)
()
=
enãos 0,
0n ,1
nu
(5.18)
Onde:
h
1
(n) : Sequência resposta ao impulso unitário, do sistema H
1
(z)
;
δ(n) : Sequência impulso unitário
;
u(n) : Sequência degrau unitário
.
202
Simplificando a equação (5.16), obtém-se:
(
)
(
)
(
)
(
)
1nu.a.bnu.a.b)n(h
1n
11
n
101
+=
(5.19)
A equação diferença da saída Y
1
(z), definida pela (5.17), pode ser também
representada da seguinte maneira:
)1n(y.a)1n(x.b)n(x.b)n(y
1111101
+
=
(5.20)
2) Quando o sistema H
1
(z) não possui pólos não nulos {significa que o coeficiente
a
1
no denominador da equação (5.16) é nulo}. Para este caso, a resposta do sistema
H
1
(z) ao impulso unitário resulta em uma quantidade finita de termos, conforme
equação (5.21). Portanto, este sistema é denominado de “resposta ao impulso
finita” (FIRFinite Impulse Response).
(
)
(
)
1n.bn.b)n(h
101
δ
+
δ
=
(5.21)
Simplificando (5.21), resulta em:
101
bb)n(h
+
=
(5.22)
A equação diferença da saída Y
1
(z) para o filtro “FIR” é definida a seguir :
)1n(x.b)n(x.b)n(y
11101
+
=
(5.23)
Fazendo uma comparação entre as equações (5.20) e (5.23), e com o auxílio da Figura
5.17, é verificado que o filtro “IIR” {equação (5.20)} possibilita realimentar as amostras
anteriores da entrada {exemplo: x
1
(n-1)} e as amostras anteriores da saída {exemplo:
y
1
(n-1)}. Já o filtro “FIR” {equação (5.23)} possibilita realimentar somente as amostras
anteriores da entrada {x
1
(n-1)}. O filtro “IIR” geralmente resulta em um sistema de menor
ordem do que o filtro “FIR”, entretanto, o acesso aos valores de saída do filtro deverá ser
garantido para a realimentação.
O projeto do filtro digital passa-baixas (sub-componente destacado na Figura 5.16) foi
desenvolvido diretamente no plano discreto usando a ferramenta de projeto de filtros digitais
FDAtool, presente no ambiente MatLaB/Simulink. Dentre as topologias de filtros que a
FDAtool disponibiliza para o projeto, foi escolhido um sistema “IIR” do tipo Butterworth e de
primeira ordem, equivalente ao H
1
(z) definido pela equação (5.16). A freqüência de corte
deste filtro é de 36Hz com a taxa de amostragem configurada como sendo 50kHz, sintonizada
com os demais elementos que integram o componente “D”. Para a realização deste filtro
foram utilizados 3 multiplicadores (coeficientes: a
1
, b
0
e b
1
), 2 somadores (ADDSUB1 e
ADDSUB2), 2 registradores (elementos de atraso: DELAY1 e DELAY2) e 2 estruturas de
203
conversão de dados (CONVERT1 e CONVERT2), conforme destacado na Figura 5.18. As
estruturas de conversão de dados são importantes para que o laço de realimentação não cause
a instabilidade do filtro.
1
SAÍDA
(IRetif-1)
x 0.002258
b2
x 0.002258
b0
x 0.9955
a1
dbl fpt
GATEWAY IN
z
-1
DELAY2
z
-1
DELAY1
x
lconve
r
cast
CONVERT2
xlconvertcast
CONVERT1
xladdsub
a+b
a
b
a
ADDSUB2
xladdsub
a+b
a
b
a
ADDSUB1
1
ENTRADA
(iRetif-1)
Figura 5.18 – Diagrama de blocos da realização direta do filtro digital IIR.
A tabela 5.1 mostra os coeficientes do filtro e a sua configuração aritmética em ponto
fixo.
Valores calculados
pelo FDAtool
Valores implementados
Coeficiente Valor coeficiente
Valor coeficiente
(aproximado)
Nº de bits
Posição do ponto
fracionário
a
1
- 0,9954833984375 - 0,9955 16 16
b
0
0,00225830078125 0,0022583 16 16
b
1
0,00225830078125 0,0022583 16 16
Portanto, o valor de saída filtro é dado pela equação diferença (5.20), sendo reescrita a
seguir pela (5.24), com os coeficientes calculados.
()
(
)
(
)()
1ny.9955,01nx.0022583,0nx.0022583,0ny
+
+
=
(5.24)
Sabe-se que:
i
Retif-1
(n) x(n);
I
Retif-1
(n) y(n).
Então, atualizando (5.24), obtém-se a equação (5.25) padronizada com o diagrama
mostrado na Figura 5.15:
()
(
)
(
)
(
)
1nI.9955,01-ni.0022583,0ni.0022583,0nI
1tifRe1tifRe1tifRe1tifRe
+
+
=
(5.25)
204
Finalmente, atualizando a equação (5.19) com os coeficientes da Tabela 5.1, resulta na
equação (5.26) para análise da resposta ao impulso unitário do filtro projetado.
()
(
)
(
)( )
1nu.9955,0.0022583,0nu.9955,0.0022583,0)n(h
1nn
1
+=
(5.26)
5.6 – Acionamento do Retificador Trifásico Híbrido
Considerando o acionamento direto do retificador trifásico híbrido pela rede de
alimentação, é apresentado um resumo do funcionamento da estrutura e dos componentes que
constituem o código VHDL (Figura 5.15), nas condições de partida, regime e desligamento,
conforme descrito na Tabela 5.2
Tabela 5.2 – Resumo do Protocolo de partida, operação em regime e desligamento do Retificador
Trifásico Híbrido (RTH).
Partida Regime Desligamento
Primeira
etapa (t
0
,t
1
)
Segunda
etapa (t
1
,t
2
)
Terceira
etapa (t
2
,t
3
)
Quarta
etapa (t
3
,t
4
)
Quinta
etapa (t
4
,t
5
)
Sexta
etapa (t
5
,t
6
)
RTH
Desligado Desligado
Em operação Em operação
Desligado Desligado
Retif-1
Desligado
Em operação Em operação Em operação Em operação
Desligado
Retificadores
Retif-2
Desligado Desligado
Em operação Em operação
Desligado Desligado
A Ativado Ativado Ativado Ativado Ativado
Desativado
B Ativado Ativado Ativado Ativado Ativado
Desativado
D Ativado Ativado Ativado Ativado Ativado
Desativado
F P G A (Componentes)
E
Desativado Desativado
Ativado Ativado
Desativado Desativado
L E G E N D A – Tabela 5.2
RTH Retificador Trifásico Híbrido
A Protocolo de aquisição
Retif-1 Retificador Trifásico não-controlado
B Gera senóide de referência
Retif-2 Retificador monofásico SEPIC1
D Gera a corrente de referência para o SEPIC1
E Modulador histerese
O protocolo de partida e desligamento é dividido em etapas, conforme a seguir:
Primeira etapa (t
0
, t
1
): Inicialmente, o retificador trifásico híbrido está desligado. No
instante t
0
, os componentes “A”, “B” e “D” são ativados por meio do sinal C
mdo_aquisição
(gerado pelo seletor manual SW1). Nesta etapa o componente “A” faz o ajuste de offset das
205
correntes i
Retif
(n) e I
in1
(n) digitalizadas (idealmente com valor nulo “00000000”),
considerando os primeiros cem valores monitorados. A partir deste instante, o Retif-1 poderá
ser comandado para a condução.
Segunda etapa (t
1,
t
2
): No instante t
1
, o Retif-1 é comandado manualmente para a
condução através de um contator trifásico comum. Nesta etapa, não há a correção do Fator de
Potência na fase “a”, pois o Retif-2 encontra-se desligado. A partir do instante t
2
, com o
Retif-1 em regime, o Retif-2 poderá ser comandado para a condução.
Terceira etapa (t
2,
t
3
): No instante t
2
, o componente “E” é ativado através do sinal
C
mdo_SEPIC
(gerado pelo seletor manual SW2),
dando início à emissão de pulsos de comando e
da operação do SEPIC
1
. Após o transitório de partida, no instante t
3
, o retificador trifásico
híbrido atinge a condição de regime, fazendo a correção do Fator de Potência na fase “a”.
Quarta etapa (t
3,
t
4
): O retificador trifásico híbrido opera em regime, até que no
instante t
4
, por decisão do operador, é comandado o seu desligamento, iniciando-se pelo Retif-
2 (SEPIC
1
), conforme descrito na etapa seguinte.
Quinta etapa (t
4,
t
5
): No instante t
4
, o componente “E” é desativado através do sinal
C
mdo_SEPIC
, resultando no desligamento do Retif-2. Portanto, o Retif-1 volta a processar toda
a potência entregue à carga, até que seja comandado o seu desligamento.
Sexta etapa (t
5,
t
6
): No instante t
5
, o Retif-1 é desligado e os componentes “A”, “B” e
“D” são desativados por meio do sinal C
mdo_aquisição
, finalizando a operação do retificador
trifásico híbrido.
206
5.7 – Conclusões
Apresentou-se neste capítulo a descrição da lógica de controle para a imposição das
correntes de entrada do Retificador Trifásico Híbrido com correção do Fator de Potência
(RTH), baseando-se nas análises teóricas desenvolvidas nos Capítulos 2 e 3.
A implementação digital desta lógica de controle, utilizando-se da Linguagem de
descrição de Hardware VHDL (Hardware Description Language), foi discutida de forma
detalhada. Ressalta-se que todo o código VHDL foi construído visando a sua aplicação
prática através de dispositivos lógicos programáveis FPGA (Field Programmable Gate
Array), para comando do Retificador Trifásico Híbrido (RTH).
Na primeira versão do controle implementada (Figura 5.9) haviam sete sensores de
corrente, dos quais três eram aplicados na detecção de nível das correntes de entrada do
retificador não controlado. Na segunda versão (5.15), a estratégia de controle foi otimizada,
eliminando-se estes três sensores de corrente, considerando-se que eventuais desequilíbrios
entre as tensões de entrada não alterem de forma significativa os instantes de comutação dos
diodos da ponte retificadora de seis pulsos. Caso contrário, a corrente controlada e não
controlada de cada fase na entrada perderão o sincronismo entre si, e conseqüentemente a
DHT da corrente total de fase será aumentada.
A disponibilidade de bibliotecas que permitem o desenvolvimento do código em
VHDL através do aplicativo MatLaB/Simulink resulta em maior segurança e facilidade para o
projetista, uma vez que toda a parte aritmética envolvida é realizada através núcleos
parametrizáveis de fácil manuseio e entendimento. O uso do dispositivo FPGA torna a
técnica de modulação por histerese viável de implementação, por ser utilizado apenas um
contador e comparador simples, controlando uma máquina de estados comum (Figuras 5.14 e
5.13). Adicionalmente, a aplicação de técnicas digitais com o uso de FPGAs, para o
acionamento e controle de conversores em eletrônica de potência, permite ao projetista uma
maior flexibilidade durante as fases de projeto, implementação em bancada e em fase
posterior, devido à possibilidade de se testar parâmetros, alterando-se apenas algumas linhas
do programa. Desta forma, utilizam-se poucos componentes e dispositivos em laboratório,
evitando-se a confecção de placas, levando-se à redução de tempo, espaço e custos para o
desenvolvimento de protótipos para validação da proposta.
Finalmente, no Capítulo 6 apresentar-se-ão os resultados experimentais obtidos para o
retificador híbrido, considerando-se a versão otimizada do controle digital proposta e
analisada neste capítulo.
207
CAPÍTULO 6
6 – Principais Resultados Experimentais para o Retificador
Trifásico Híbrido (RTH)
6.1 – Introdução
Neste capítulo apresentam-se as formas de onda obtidas na implementação prática do
protótipo do Retificador Trifásico Híbrido, com controle digital e modulação por histerese
variável utilizando dispositivo FPGA, e considerações sobre os resultados obtidos.
6.2– Protótipo Implementado
Considerando a lógica de controle digital implementada no Capítulo 5 com base nas
análises teóricas discutidas nos Capítulos 2 e 3, construiu-se o protótipo do retificador
trifásico híbrido para uma potência de 3,0 kW, cujas fotos da estrutura são mostradas na
seqüência. Inicialmente têm-se uma visão geral da estrutura através da Figuras 6.1.
Figura 6.1 – Vista geral do protótipo implementado para o Retificador Trifásico Híbrido.
Ponte de diodos trifásica
SEPIC
3
(Fase “C”)
SEPIC
2
(Fase “B”)
SEPIC
1
(Fase “A”)
Filtro LC
Módulo
FPGA
Conversores A/D
8 bits serial
Condicionamento de sinais
para a aquisição
Circuito de
comando
Snubbers
Sensor de
corrente
208
Na Figura 6.2, tem-se uma vista superior do protótipo do retificador monofásico
SEPIC
1
conectado na fase “a”. Estão sinalizados no lado direito da figura os pontos de
conexão dos circuitos de comando e do sensor da corrente de entrada i
in1
(ω.t), mostrados em
detalhes nas Figuras 6.3 e 6.4, respectivamente. Os circuitos snubbers foram conectados na
posição perpendicular ao plano de montagem do SEPIC
1
, junto ao interruptor controlado.
Figura 6.2 – Vista superior do retificador monofásico SEPIC
1
, na fase “a”.
O circuito esquemático (comando do SEPIC
1
) referente à Figura 6.3, foi descrito no
Capítulo 5 (detalhes no tópico 5.3.4, Figura 5.8).
Saída para
a carga
Snubbers
RC e RCD
L
3
L
1
L
2
Alimentação
127 V
Circuito de
comando
Sensor de
corrente
209
Figura 6.3 – Detalhe do circuito comando do SEPIC
1
.
O sensor de corrente de efeito “Hall” (comentado no tópico 5.3.1, no Capítulo 5) é
sensibilizado com cinco espiras, resultando em uma relação de transformação de 1,0 A no
primário para 50 mA no secundário. A corrente que sai do secundário circula pela resistência
Figura 6.4 – Detalhe do circuito sensor de corrente.
Conexão com
o SEPIC
1
Sensor de corrente (LEM)
Alimentação (± 15 V)
Conexão com
o IGBT no
SEPIC
1
Sinal de entrada
enviado pelo
FPGA
Alimentação (+15 V)
i
in1
(ω.t)
V
M1
{SEPIC
1
}
210
de 95 (em paralelo) e produz um sinal de tensão V
M1
(entre 0 e 4,75 V) que é enviado para
o circuito de condicionamento. Na Figura 6.5 são visualizados os quatro canais para o
condicionamento (filtro analógico) e aquisição dos sinais enviados pelos sensores de corrente
(por exemplo, o sensor mostrado na Figura 6.4). Os sinais de tensão V
M1
, V
M2
e V
M3
representam as correntes i
in1
(ω.t), i
in2
(ω.t) e i
in3
(ω.t) de entrada de cada SEPIC e o sinal V
M4
representa a corrente de saída i
Retif-1
(ω.t) do retificador não controlado. A análise do
funcionamento deste circuito foi realizada em detalhes no Capítulo 5 (tópico 5.3.1). Observa-
se que o tipo de montagem apresentado na Figura 6.5 resulta em facilidade na manutenção,
pelo fato dos circuitos de condicionamento e de conversão A/D terem sido confeccionados de
forma modular, posicionados um nível acima da placa base e com uma conexão apropriada.
Figura 6.5 – Vista superior dos quatro canais de condicionamento e aquisição de correntes.
Condicionamento
de correntes
(filtro 2ª ordem)
Conversores A/D
8 bits serial
FPGA
V
M1
{SEPIC
1
}
V
M2
{SEPIC
2
}
V
M3
{SEPIC
3
}
V
M4
{Retif-1}
211
Na Figura 6.6 é apresentado em detalhes o canal para o condicionamento e aquisição
do sinal V
M1
, segmentado em duas placas
.
O sinal V
M1
, após ser filtrado pelo circuito de
condicionamento {Figura 6.6(a)} e digitalizado pelo A/D {Figura 6.6(b)}, é enviado para o
FPGA através do protocolo de aquisição de dados.
(a)
(b)
Figura 6.6 – Detalhe do circuito de condicionamento (a) e de aquisição de correntes (b).
Na Figura 6.7 é mostrado o dispositivo FPGA instalado em um módulo de
desenvolvimento (Módulo principal) dedicado às aplicações experimentais em laboratório.
Além do módulo principal, existe o módulo auxiliar que contém alguns acessórios, tais como:
Seletores manuais (ON, OFF) que permitem ao usuário iniciar ou interromper o
funcionamento do código VHDL, comandar a visualização instantânea de dados
referentes à operação do sistema, através dos displays, e também identificar a
ocorrência de um evento através da sinalização dos leds.
Os pulsos de comando dos SEPIC
s
, não são enviados diretamente para o “circuito de
comando” (Figura 6.3), passam inicialmente pelo buffer 74HC125N (circuito anexo ao
módulo principal - FPGA) para garantir a corrente de 10 mA requerida pelo drive de ataque
(CI-3180, mostrado na Figura 6.3) e com isso evitar possíveis danos ao FPGA.
A/D
V
M1
V
M1
FPGA
Re
g
ulador
de tensão
V
M1
{SEPIC
1
}
212
Figura 6.7 – Vista superior do módulo FPGA da Xilinx (Spartan2e).
Na Figura 6.8, é visualizada a montagem do retificador não controlado de 6 pulsos
(módulo retificador SKD2508), e dos sensores de tensão e de corrente. Os sensores de
corrente são idênticos ao circuito mostrado na Figura 6.4, e foram montados juntos em um
módulo afixado sobre a placa de potência principal. Em função da otimização da estratégia
de controle (abordada no Capítulo 5), os três sensores utilizados na detecção de nível das
correntes de entrada do retificador não controlado foram desativados, permanecendo em
funcionamento somente o sensor da corrente de saída i
Retif-1
(ω.t), que gera o sinal de tensão
V
M4
para a aquisição (conforme Figura 6.5).
Os sensores de tensão foram implementados utilizando-se divisores resistivos, com
uma disposição física próxima ao ponto de alimentação da placa. Os sinais de tensão
monitorados (V
A
, V
B
e V
C
) são enviados para o “circuito de condicionamento das tensões de
entrada” (mostrado na Figura 6,9). Este circuito possui três estágios distintos de
funcionamento, na seguinte ordem:
Filtro ativo passa-baixas (de 2ª ordem);
Gera um pulso na freqüência da rede para identificar o semiciclo da respectiva tensão;
Isola o pulso gerado através de um opto-acoplador.
Após serem isolados, os pulsos “S
emiciclo_Va
”, “S
emiciclo_Vb
” e “S
emiciclo_Vc
”, são enviados
para o FPGA.
Módulo principal Módulo auxiliar
Buffer
74HC125N
213
Figura 6.8 – Módulo retificador de seis pulsos, sensores de tensão e sensores de corrente.
Figura 6.9 – Detalhe do circuito de condicionamento das tensões de entrada para a sincronização do
sistema de controle com a rede.
Sensores de corrente
(desativados)
V
M4
{Retif-1}
V
C
V
B
V
A
N
Saída para
Filtro LC
+
-
Alimentação CA
Sensores
de tensão
S
emiciclo_Va
V
A
V
B
V
C
S
emiciclo_Vc
S
emiciclo_Vb
Filtro Gera pulso Opto
214
O filtro LC de saída do retificador híbrido é mostrado na Figura 6.10. Na parte
superior da figura são visualizados os pontos positivo e negativo de conexão com a saída da
ponte retificadora à diodos (mostrada na Figura 6.8). Os indutores foram montados sobre um
base de madeira de modo que o ajuste do entreferro possa realizado facilmente.
Figura 6.10 – Detalhe do filtro LC de saída do retificador trifásico híbrido.
6.3 – Principais Resultados Experimentais
As formas de onda mostradas a partir da Figura 6.11 até 6.31 constituem os principais
resultados experimentais das fases “a”, “b” e “c” do retificador trifásico híbrido. Todos os
resultados foram aquisitados através de um osciloscópio digital da Tektronix e analisados
através do software Wavestar também da Tektronix. Foram utilizados também dois
wattímetros digitais da Yokogawa, sendo um wattímetro trifásico (4 fios) conectado na
entrada e um wattímetro monofásico conectado na saída do retificador híbrido, possibilitando
a verificação instantânea do Fator de potência e rendimento da estrutura. Na Figura 6.11 têm-
se as formas de onda da tensão e corrente através do interruptor controlado (S
1
) do retificador
monofásico SEPIC
1
, mostrando em detalhe a ação dos dois circuitos snubbers empregados. O
snubber RCD não consegue um bom amortecimento da oscilação da corrente, entretanto atua
muito bem no grampeamento da tensão sobre o interruptor. O amortecimento das oscilações é
realizado com o snubber RC. Neste caso, a capacitância do RC poderá ser reduzida, devido à
ação prévia do grampeador, minimizando perdas. Esta característica, inclusive, é ressaltada
Saída da ponte
trifásica de diodos
+-
Carga
L
O2
(22 mH)
C
O
(680 uF)
L
O1
(22 mH)
+-
215
pelos autores de um trabalho [51] onde foi explorada a aplicação simultânea dos snubbers RC
e RCD em um conversor flyback.
1
2
(
)
ω.ti
s1
()
ω.tv
s1
100V/div; 5A/div; 3us/div.
Figura 6.11 – Detalhe da comutação do interruptor S
1
com o amortecimento e grampeamento da
tensão pela ação dos circuitos snabbers RC e RCD.
A seguir na Figura 6.12 são apresentadas as formas de onda da tensão {v
s1
(ω.t)} e
corrente {i
s1
(ω.t)} através do interruptor (S
1
), a corrente de entrada {i
a2
(ω.t)} e a corrente de
saída {i
m1
(ω.t)} do retificador monofásico SEPIC
1
, no período da tensão de alimentação.
2
3
4
1
()
ω.tv
s1
()
ω.ti
s1
()
ω.ti
a2
()
ω.ti
m1
200V/div; 5A/div; 2ms/div.
Figura 6.12 – Comutação do interruptor S
1
no período da tensão de alimentação.
216
Durante os testes experimentais, o retificador híbrido foi alimentado por um varivolt
trifásico (Tensão eficaz de linha: 0 a 240V) com capacidade para suprir até 9,0 kVA. A
característica indutiva do varivolt fez com que as derivadas das correntes de entrada do
retificador controlado (Retif-2) se tornassem mais lentas. Tal fato pode ser verificado através
da Figura 6.12, onde os intervalos de subida e descida da corrente i
a2
(ω.t) são razoavelmente
grandes.
Devido a ação do controle na tentativa de impor o valor desejado da corrente após o
cruzamento por zero, resultou em grandes intervalos de condução (T
ON
) e conseqüentemente
distorções na corrente i
s1
(ω.t) e tensão v
s1
(ω.t) sobre o interruptor S
1
. Este problema também
é visível também nas formas de onda das correntes das demais fases “b”e “c”, a serem
discutidas na seqüência.
Considerando o retificador trifásico híbrido operando com carga nominal (3,0 kW), na
Figura 6.13 é mostrada a corrente de entrada i
a1
(ω.t) do retificador não-controlado (Retif-1)
com um valor eficaz igual a 5,65 A e uma DHT de 29,34 %.
Em destaque na Figura 6.14 são observados os valores eficazes das harmônicas
ímpares não-triplas {preponderantes em i
a1
(ω.t)} acima dos limites estabelecidos pela norma
IEC 61000-3-2
1
(
)
ω.ti
a1
5A/div; 2ms/div.
Figura 6.13 – Detalhe da forma de onda corrente de entrada de linha, na fase “a”, para o retificador
trifásico não controlado.
217
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 29,34%
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
Valor máximo eficaz [A]
Retif-1 (Fase "a")
Figura 6.14 – Espectro harmônico para a corrente de entrada i
a1
(ω.t).
Na Figura 6.15, é mostrada a corrente de entrada i
a2
(ω.t) do retificador monofásico
SEPIC
1
(na fase “a”), com um valor eficaz igual a 3,15 A, imposta pela lógica de controle
digital para compor a corrente total de entrada i
a
(ω.t) e reduzir a amplitude das componentes
harmônicas de i
a1
(ω.t), destacadas anteriormente. Complementando as observações feitas
para a Figura 6.12, nota-se uma tendência da corrente i
a2
(ω.t) (mostrada em detalhe na Figura
6.15) em se manter nula após o cruzamento por zero devido a influência do varivolt utilizado
na alimentação.
1
(
)
ω.ti
a2
5A/div; 2ms/div.
Figura 6.15 – Detalhes da forma de onda corrente de entrada, na fase “a”, para o retificador
monofásico SEPIC
1
.
218
Nas Figuras 6.16 e 6.17, é apresentada a corrente total de entrada i
a
(ω.t) na fase “a” do
retificador híbrido, composta pelas correntes não-controlada i
a1
(ω.t) e controlada i
a2
(ω.t),
resultando em uma DHT de 4,03%.
1
(
)
ω.ti
a
5A/div; 2ms/div.
Figura 6.16 – Detalhes da forma de onda corrente de entrada de linha, na fase “a”, para o retificador
trifásico híbrido.
1
2
3
(
)
ω.ti
a
(
)
ω.ti
a1
(
)
ω.ti
a2
10A/div; 2ms/div.
Figura 6.17 – Detalhes da composição da forma de onda corrente de entrada de linha, na fase “a”, para
o retificador trifásico híbrido.
219
A seguir, nas Figuras 6.18 e 6.19, são mostradas as formas de onda da tensão e
corrente de entrada na fase “a” com uma defasagem de 6,3º. Esta defasagem corresponde a
um intervalo de tempo de 270 us aproximadamente, o que é incompatível com o tempo de
1
(
)
ω.ti
a
(
)
ω.tv
a
50V/div; 10A/div; 2ms/div
Figura 6.18 – Detalhes das formas de onda da corrente e tensão de entrada de linha, na fase “a”, para o
retificador trifásico híbrido
(
)
ω.tv
a
()
ω.ti
a
50V/div; 10A/div; 5ms/div
Figura 6.19 – Formas de onda da corrente e tensão de entrada de linha, na fase “a”, para o retificador
trifásico híbrido, em alguns ciclos da rede de alimentação.
220
resposta do sensor de tensão da entrada e da lógica de sincronismo imposta através do código
VHDL. Portanto, atribui-se em princípio este atraso à pequena distorção da corrente i
a2
(ω.t)
após o cruzamento por zero, conforme observação feita anteriormente para a Figura 6.15.
É importante enfatizar que foi verificado para a tensão de entrada v
a
(ω.t) uma
DHT=2,71%. Contudo, isto não causou nenhum problema na imposição da forma de onda da
corrente i
a
(ω.t) uma vez que é utilizado uma referência senoidal interna, resultando ainda em
um Fator de Potência quase unitário de 0,99.
Analisando os resultados das formas de onda das correntes de entrada de linha, através
do Software Wavestar da Tektronix, mostrados simultaneamente nas Figuras 6.20 e 6.21, foi
confirmada uma DHT=4,03% para i
a
(ω.t), DHT=4,18% para i
b
(ω.t) e DHT=4,54% para
i
c
(ω.t), conforme espectro harmônico apresentado nas Figuras 6.22, 6.23 e 6.24,
respectivamente.
Portanto, considerando os valores eficazes das correntes de linha processadas
(I
aef
=8,25 A, I
bef
=8,16 A e I
cef
=8,05 A) pelo protótipo implementado, pode-se concluir que a
norma IEC 61000-3-2 é obedecida até a 23ª harmônica, sem qualquer filtro adicional na
entrada, sendo um bom resultado preliminar.
Algumas harmônicas que excedem o limite da norma (exemplo: 25ª, 29ª e 33ª) são
decorrentes das diferenças das taxas de crescimento e/ou decrescimentos das correntes i
a1
(ω.t)
e i
a2
(ω.t) durante os seus intervalos de transição. Isto resulta em distorções na corrente total
de entrada i
a
(ω.t). Estas distorções serão melhor avaliadas assim que o retificador híbrido for
alimentado diretamente da rede CA ou através de uma outra fonte que não interfira nas
derivadas das correntes {principalmente na i
a2
(ω.t)}, como é o caso do varivolt. Com base
nestes resultados, considera-se desnecessário apresentar para as fases “b” e “c” os mesmos
detalhes mostrados nas Figuras 6.13 até 6.19 para a fase “a”.
Observa-se que as correntes apresentadas nas Figuras 6.20 e 6.21 são as mesmas,
entretanto, na Figura 6.21 é enfatizada a defasagem de 120º entre as correntes de entrada nas
fases “a”, “b” e “c”.
221
2
(
)
ω.ti
c
(
)
ω.ti
b
1
3
(
)
ω.ti
a
10A/div; 5ms/div
Figura 6.20 – Formas de onda das correntes de entrada de linha nas fases “a”, “b” e “c”, para o
retificador trifásico híbrido.
()
ω.ti
a
(
)
ω.ti
b
(
)
ω.ti
c
5A/div; 3ms/div
Figura 6.21 – Destaque da defasagem de 120º das correntes de entrada de linha nas fases “a”, “b” e
“c”, para o retificador trifásico híbrido.
222
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 4,03%
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "a")
Valor máximo eficaz [A]
Figura 6.22 – Espectro harmônico para a corrente de entrada i
a
(ω.t).
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 4,18%
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "b")
Valor máximo eficaz [A]
Figura 6.23 – Espectro harmônico para a corrente de entrada i
b
(ω.t).
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 4,54%
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "c")
Valor máximo eficaz [A]
Figura 6.24 – Espectro harmônico para a corrente de entrada i
c
(ω.t).
223
No intuito de avaliar o desempenho da técnica de controle digital proposta para o
retificador trifásico híbrido, operando em regime permanente, considerando outros valores de
carga diferentes da potência nominal, verificou-se a DHT das correntes de entrada e o
atendimento à norma IEC61000-3-2, também para os seguintes níveis de potência: 83,33%
(P=2,5 kW), 50% (P=1,5 kW) e 20% (P=0,6 kW) da potência nominal. Com os resultados
experimentais obtidos para estes três níveis de potência, mostrados respectivamente nas
Figuras 25, 26 e 27, e analisados através do software Wavestar, concluiu-se que as amplitudes
das componentes harmônicas das correntes de entrada das fases “a”, “b” e “c” encontradas
estão em conformidade com a norma IEC61000-3-2. Observou-se um acréscimo da DHT das
correntes de entrada com a redução da potência processada pelo retificador híbrido,
entretanto, há um decréscimo das amplitudes das componentes harmônicas, facilitando o
atendimento à norma nesta condição de operação. Assim, considera-se necessário apresentar
para cada nível de potência (83,33%, 50% e 20%) o espectro harmônico para apenas uma
única fase das correntes de entrada (aquela que possui a maior DHT), respectivamente,
mostrados nas Figuras 28, 29 e 30.
2
1
3
(
)
ω.ti
c
(
)
ω.ti
b
(
)
ω.ti
a
I
bef
=6,70 A
DHT=3,74%
I
cef
=6,80 A
DHT=4,75%
I
aef
=6,92 A
DHT=4,01%
10A/div; 5ms/div
Figura 6.25 – Formas de onda das correntes de entrada de linha nas fases “a”, “b” e “c”, em 83,33% da
potência nominal.
224
2
1
3
(
)
ω.ti
a
(
)
ω.ti
b
(
)
ω.ti
c
I
bef
=4,06 A
DHT=4,46%
I
cef
=4,01 A
DHT=4,84%
I
aef
=4,15 A
DHT=5,53%
5A/div; 5ms/div
Figura 6.26 – Formas de onda das correntes de entrada de linha nas fases “a”, “b” e “c”, em 50% da
potência nominal.
2
1
3
(
)
ω.ti
a
(
)
ω.ti
b
(
)
ω.ti
c
I
bef
=1,74 A
DHT=7,07%
I
cef
=1,76 A
DHT=7,53%
I
aef
=1,73 A
DHT=8,67%
3A/div; 5ms/div
Figura 6.27 – Formas de onda das correntes de entrada de linha nas fases “a”, “b” e “c”, em 20% da
potência nominal.
225
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "a")
Valor máximo eficaz [A]
IEC 61000-3-2
Retificador híbrido (Fase "c")
DHT = 4,75%
Figura 6.28 – Espectro harmônico para a corrente de entrada i
c
(ω.t), em 83,33% da potência nominal.
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 5,53%
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "a")
Valor máximo eficaz [A]
IEC 61000-3-2
Retificador híbrido (Fase "a")
Figura 6.29 – Espectro harmônico para a corrente de entrada i
a
(ω.t), em 50% da potência nominal.
0
1,2
2,4
23 5 7 9 1113151719212325272931
33
Ordem harmônica
DHT = 8,67%
1,4
1,6
1,8
2,0
2,2
1,0
0,8
0,6
0,4
0,2
IEC 61000-3-2
HPF (Fase "a")
Valor máximo eficaz [A]
IEC 61000-3-2
Retificador híbrido (Fase "a")
Figura 6.30 – Espectro harmônico para a corrente de entrada i
a
(ω.t), em 20% da potência nominal.
226
O valor médio (V
O
) da tensão de saída {v
O
(ω.t)} depende diretamente do valor eficaz
(V
ef
) da tensão de entrada de fase {v
a
(ω.t)} apresentada nas Figura 6.18 e 6.19. Assim, com
“V
ef
” igual 131 V, resultou em “V
O
” igual a 298,7 V, conforme mostrado na Figura 6.31. O
ganho estático é de 2,28, valor um pouco menor do que o teórico (definido no Capítulo 3).
(
)
ω.tV
O
50V/div; 2ms/div
Figura 6.31 – Forma de onda da tensão de saída do retificador híbrido.
As formas de onda apresentadas nas Figuras 6.32 e 6.33 referem-se a corrente de saída
{i
Retif-1
(ω.t)} do retificador não-controlado (Retif-1) e a corrente total de saída {i
O
(ω.t)} do
retificador híbrido, com valores médios de corrente de 6,83 A e 10,05 A, respectivamente,
considerando-se uma carga resistiva (R
O
) de 29,7 .
Portanto, pela relação linear existente entre as correntes médias de saída do retificador
híbrido, o retificador controlado (Retif-2) processa apenas 32% da potência ativa total
entregue à carga (equivale a uma corrente média igual a 3,22 A). Este percentual está de
acordo com o previsto na metodologia de projeto apresentada no Capítulo 4.
Fazendo o produto dos valores médios da corrente e tensão na carga (destacadas nas
Figuras 6.31 e 6.33) resultou em uma potência de 3002 W processada na condição nominal de
operação.
227
(
)
ω.ti
-1Retif
2A/div; 2ms/div
Figura 6.32 – Forma de onda da corrente de saída do retificador não-controlado (Retif-1).
(
)
ω.ti
O
2A/div; 2ms/div
Figura 6.33 – Forma de onda da corrente de saída do retificador híbrido.
6.4 – Análise do Rendimento
Para avaliar o rendimento do retificador trifásico híbrido, fez-se a aquisição de dados
para seis valores de potência na carga incluindo a potência nominal.
Os seis valores de potência escolhidos para a análise do rendimento são:
228
3000 W (100,0 %);
2500 W (83,33 %);
2000 W (66,67 %);
1500 W (50,0 %);
1000 W (33,33 %);
500 W (16,67 %).
Inicialmente fez-se a análise do rendimento somente do retificador não-controlado
(Retif-1) fornecendo a potência total para a carga (o retificador controlado “Retif-2” encontra-
se desligado). Conforme apresentado na Figura 6.34, o rendimento do “Retif-1” é elevado,
superior a 96 % mesmo para um percentual reduzido de carga
0 500 1000 1500 2000 2500 3000
92
93
94
95
96
97
98
99
P (W)
%η
Experimental
Interpolão
96,16
98,04
98,04
98,52
97,28
98,04
Figura 6.34 – Rendimento experimental do retificador não controlado fornecendo a potência total para
a carga.
Na Figura 6.35, é mostrado o rendimento do retificador trifásico híbrido
desconsiderando-se as perdas nos circuitos auxiliares (aquisição de correntes, sensores de
correntes e tensões e comando do SEPICs), resultando em 94,34 % com a potência nominal.
As perdas de potência nos circuitos auxiliares corresponde à 20 W (0,67 % da potência
nominal). Incorporando as perdas dos circuitos auxiliares, uma nova curva de rendimento
para o retificador híbrido é apresentada na Figura 6.36, obtendo-se um rendimento de 93,75 %
para o retificador híbrido operando com a carga nominal. É observado que na condição
nominal de operação estas perdas não interferem significativamente no rendimento global da
229
estrutura, conforme é destacado na Figura 6.37, onde são confrontados os pontos levantados
para as três condições analisadas.
P (W)
0 500 1000 1500 2000 2500 3000
90
91
92
93
94
95
96
%η
Experimental
Interpolação
90,91
92,60
93,75
93,90
94,36
94,34
Figura 6.35 – Rendimento experimental do retificador trifásico híbrido, desconsiderando-se as perdas
nos circuitos auxiliares.
P (W)
0 500 1000 1500 2000 2500 3000
86,0
87,5
89,0
90,5
92,0
93,5
95,0
%η
Experimental
Interpolação
87,72
90,91
92,60
93,66
93,75
93,02
Figura 6.36 – Rendimento experimental do retificador trifásico híbrido.
230
96,16
98,04
98,04
98,52
97,28
98,04
90,91
92,60
93,75
93,90
94,36 94,34
87,72
90,91
92,60
93,02
93,66
93,75
0 500 1000 1500 2000 2500 3000
86
88
90
92
96
98
100
P (W)
%η
94
Rendimento experimental do Retif-1 operando sem correção ativa do
fator de potência (Retif-2 desligado).
Rendimento experimental do retificador trifásico híbrido.
Rendimento experimental do retificador trifásico híbrido desconsiderando
a poncia consumida pelos circuitos auxiliares.
Figura 6.37 – Rendimento experimental do retificador não controlado e do retificador trifásico híbrido,
fornecendo a potência total para a carga.
As curvas de rendimento individuais do retificador não controlado (Retif-1) e do
retificador controlado (Retif-2), considerando o retificador híbrido em operação, não foram
levantadas. Entretanto, observa-se que o rendimento do Retif-1 fornecendo a potência total
para carga (Figura 6.34) não apresenta grandes variações. Tomando como referência o
rendimento médio (η
1
=0,977) do Retif-1, é possível fazer um estimativa do rendimento (η
2
)
do Retif-2, conforme descrito a seguir:
Conforme definido no Capítulo 2, o rendimento total do retificador híbrido é calculado
conforme equação (6.1).
in
P
P
=η
(6.1)
Sendo que:
in2in1in
PPP
+
=
(6.2)
231
1
1-Retif
in1
P%.I
P
η
=
(6.3)
(
)
2
1-Retif
in2
P.%I1
P
η
= (6.4)
Onde:
η
: Rendimento do retificador trifásico híbrido;
η
1
: Rendimento do Retif-1;
η
2
: Rendimento do Retif-2;
P : Valor médio da potência processada pelo retificador trifásico híbrido
(Potência ativa);
P
in
: Valor médio da potência requerida da fonte de alimentação;
P
in1
: Valor médio da potência de entrada requerida pelo Retif-1;
P
in2
: Valor médio da potência de entrada requerida pelo Retif-2;
I
Retif-1
%
: Valor percentual da corrente média de saída do Retif-1;
P
wRetif-1
: Perdas totais no Retif-1;
P
wRetif-2
: Perdas totais no Retif-2;
P
wSEPIC1
: Perdas totais no retificador monofásico SEPIC
1
;
P
wRTH
: Perdas totais no retificador trifásico híbrido.
Substituindo as equações (6.3) e (6.4) em (6.3), e posteriormente em (6.1), obtém-se a
equação para o cálculo aproximado do rendimento do retificador Retif-2, conforme equação
(6.5).
(
)
%I.
%I1..
1-Retif1
1-Retif1
2
ηη
η
η
=η (6.5)
A seguir, será calculado o rendimento η
2
, para o retificador híbrido processando a
potência nominal, desconsiderando-se a potência consumida pelos circuitos auxiliares (Figura
6.35). Assim, da equação (6.5) e com os dados: η=0,9434, η
1
=0,977 e I
Retif-1
%=0,68, obtém-
se: η
2
,=0,879. Com este resultado estimam-se as perdas totais do Retif-2, pela equação (6.6).
()
η
= 1
1
.P.%I1P
2
1-Retif2-wRetif
(6.6)
Da equação (6.6) e com os dados: η
2
,=0,879, P=3002 W e I
Retif-1
%=0,68, obtém-se:
P
wRetif-2
=132,24 W. Como o sistema é equilibrado, as perdas no retificador monofásico
SEPIC
1
resulta em: P
wSEPIC1
=44,08 W. As perdas totais medidas para o retificador híbrido
são de P
wRTH
=180 W. Portanto, as perdas totais estimadas o Retif-1 é de P
wRetif-1
=47,76 W.
232
Na seqüência, é mostrado na Tabela 6.1 os principais resultados teóricos (destacados
na Tabela 2.3, no Capítulo 2) e experimentais (fase “a”) para fins comparação e validação da
análise teórica realizada no Capítulo 2, considerando o parâmetro de controle K=1,633.
Tabela 6.1 – Análise comparativa de resultado teóricos e experimentais.
Dados analisados
Resultados teóricos
(Tabela 2.3)
Resultados
experimentais
I
Retif-1
6,72 A 6,83 A
I
Retif-2
3,38 A 3,22 A
I
a1ef
5,77 A 5,65 A
I
a2ef
3,14 A 3,15 A
I
aef
8,21 A 8,25 A
DHT
ia
2,5 % 4,03 %
Onde:
I
Retif-1
: Valor médio da corrente de saída i
Retif-1
(ω.t), no Retif-1;
I
Retif-2
: Valor médio da corrente de saída i
Retif-2
(ω.t), no Retif-2;
I
aef
: Valor eficaz da corrente de entrada i
a
(ω.t), no retificador trifásico híbrido;
I
a1ef
: Valor eficaz da corrente de entrada i
a1
(ω.t), no Retif-1;
I
a2ef
: Valor eficaz da corrente de entrada i
a2
(ω.t), no Retif-2;
DHT
ia
: Distorção Harmônica Total na corrente i
a
(ω.t).
Dentre os dados listados na Tabela 6.1, o único item que resultou em valores com
divergência significativa é a DHT
ia
. Em complemento ao que foi comentado para a Figura
6.20, isto ocorreu devido a ausência de um sincronismo adequado entre as correntes i
a1
(ω.t) e
i
a2
(ω.t), causando a sobreposição destas correntes nos intervalos de entrada e bloqueio dos
diodos da ponte retificadora de seis pulsos (Retif-1).
233
6.5 – Conclusões
Os resultados experimentais e suas análises foram apresentadas, considerando a
aplicação do controle digital proposto para o retificador trifásico híbrido, capaz de impor
reduzida DHT para as correntes de linha de entrada, resultando em um Fator de Potência
elevado para o conversor. O controle digital usando a modulação por histerese variável foi
implementado através de um dispositivo programável FPGA, usando linguagem VHDL.
Foi verificado através dos resultados experimentais analisados que o valor máximo da
DHT para as correntes de linha de entrada não ultrapassou 4,54%, para a potência nominal,
resultando em um Fator de Potência quase unitário (FP=0,99), apesar de ter sido constatada
uma distorção de 2,71% para a tensão de entrada. O atendimento à norma IEC61000-3-2
também foi mantido para o retificador trifásico híbrido processando outros valores de carga
diferentes da potência nominal, em regime permanente.
O retificador híbrido ainda não foi acionado diretamente pela rede de alimentação.
Portanto, o protocolo de partida, operação em regime e desligamento, comentado no Capítulo
5, não foi implementado na prática. Deverá ser realizado para a versão final do trabalho.
Na análise do rendimento do retificador híbrido, foi constatado que a maioria das
perdas de potência ocorre no retificador controlado (Retif-2), cerca de 73,47% do total. O
rendimento atual de 87,9 % de cada retificador monofásico SEPIC poderá ser aumentado com
uma escolha mais criteriosa dos interruptores (diodos, IGBTs e Mosfets) e um projeto
otimizado dos circuitos snubbers e indutores.
Por fim, realizou-se a análise comparativa entre os principais resultados teóricos e
práticos, conforme Tabela 6.1, concluindo-se que a metodologia de projeto e de escolha do
ponto de operação da estrutura está bem fundamentada. Entretanto, entende-se que é
necessário fazer adequações na estratégia de sincronismo das correntes controlada e não
controlada, tendo em vista que as componentes harmônicas que tendem a extrapolar os limites
da norma são decorrentes dos picos de correntes gerados pela sobreposição indevida destas
correntes.
234
CAPÍTULO 7
7 – Conclusões Gerais
Foi proposta e analisada neste trabalho uma técnica de controle digital com modulação
por histerese variável utilizando-se um dispositivo FPGA (Field Programmable Gate Array) e
VHDL (Hardware Description Language), aplicada em um retificador trifásico híbrido com o
propósito de obtenção de uma DHT reduzida para as correntes de entrada e Fator de Potência
de entrada quase unitário. Em uma análise global, o objetivo foi estabelecer uma alternativa
versátil e viável para o processamento de energia CA-CC para aplicações industriais, sem
fazer uso de técnicas tradicionais com arranjos volumosos, pesados e complexos de
transformadores, transformadores de interfase e reatores bloqueadores de harmônicas, ou
retificadores trifásicos PWM que resultam em um aumento de custos no processamento de
potências elevadas.
Através da decomposição das correntes de entrada do retificador híbrido, em séries de
Fourier, usando relações matemáticas do próprio circuito, definiu-se uma metodologia que
estabelece uma relação entre a DHT (Distorção Harmônica Total) imposta para as correntes
de entrada, e as potências média e aparente de entrada e saída processadas pelos retificadores
controlado e não-controlado. Portanto, o objetivo principal da análise teórica apresentada foi
a obtenção de um valor máximo de DHT para as correntes de entrada, cujo conteúdo
harmônico estivesse em conformidade com os limites impostos pelas normas internacionais,
e, conseqüentemente, um valor mínimo de potência processada pelo retificador controlado.
Dentre as vantagens do retificador trifásico híbrido analisado, são destacados os
benefícios econômicos desta topologia, a qual é extremamente viável para instalações de
potências médias e elevadas devido a sua qualidade e eficiência. A potência total ativa
processada através dos retificadores monofásicos SEPIC representam uma fração menor da
potência ativa total de saída, totalizando 32,0 % neste projeto. Os retificadores paralelos,
constituídos por conversores SEPIC, operam no modo de condução contínua e modulação por
histerese variável, com freqüência de operação entre 27,4 kHz e 43,86 kHz, oferecendo
volume e peso reduzido para o retificador trifásico híbrido. Adicionalmente, usando a técnica
de controle digital proposta, implementada em dispositivo FPGA, possibilita-se uma
flexibilidade importante e facilidades para impor uma forma de onda especificada e desejável
para as correntes de entrada, incluindo formas de onda senoidais, através do código VHDL,
235
constituindo-se uma estrutura com DHT verdadeiramente programável para as correntes de
entrada.
Como continuidade do trabalho propõe-se alguns testes de desempenho do sistema
implementado e algumas modificações na estratégia de controle visando tornar a estrutura
mais atrativa comercialmente.
1) Testes de desempenho propostos:
Fazer o acionamento do retificador trifásico híbrido diretamente da rede
alimentação, usando o protocolo de partida e desligamento, previsto no Capítulo 5,
e avaliar melhor o sincronismo entre as correntes controlada e não controlada na
entrada.
Fazer variação abrupta (degrau) de carga, para verificar o desempenho da
técnica de modulação empregada.
Avaliar o possível aumento da DHT nas correntes de entrada e o atendimento à
norma IEC, mediante à desequilíbrios das tensões de alimentação.
2) Modificações na estratégia de controle:
Verificar a possibilidade de sincronizar o sistema com a rede de alimentação
monitorando-se apenas uma fase. Neste caso, elimina-se dois sensores de tensão.
Avaliar outras formas de onda para o SEPIC, de maneira que mesmo resultando
o acréscimo da amplitude das componentes harmônicas de menor ordem (até 10ª,
por exemplo) para a corrente total de entrada, seja possível o atendimento à norma
IEC e ainda reduzir a potência processada pelo retificador controlado para um
percentual menor de 30 %.
Avaliar a possibilidade de impor o controle para o SEPIC sem o monitoramento
da corrente de entrada, ou pelo menos simplifica-lo. Neste caso pode ser necessário
adotar uma outra técnica de controle.
236
Referências Bibliográficas
[1] WILSON, T. G. The evolution of power electronics.
IEEE Transactions on Power
Electronics
, New York, v.15, n.3, p. 439-446, 2000.
[2] STAUB, A. O.; OWEN, E. L. Solid-state motor controllers.
IEEE Transactions on Industry
Applications
, New York, v.IA-22, n.6, p. 1113-1120, 1986.
[3] JAHNS, T. M.; OWEN, E. L. AC adjustable-speed drives at the millennium: Hou did we get
here?.
IEEE Transactions on Industry Applications
, New York, v.16, n.1, p. 17-25, 2001.
[4] SIEBERT, A.; TROEDSON, A.; EBNER S. AC to DC power conversion now and in the
future.
IEEE Transactions on Industry Applications
, New York, v.38, n.4, p. 934-940, 2002.
[5] SINGH, B.; SINGH, B. N.; CHANDRA, A.; et al. A review of three-phase improved power
quality AC-DC converters.
IEEE Transactions on Industrial Electronics
, v.51, n.3, p. 641-
660, 2004.
[6] KOLAR, J. W.; ERTL, H. Status of the techniques of three-phase rectifier systems with low
effects on the mains. In: INTELEC’99. INTERNATIONAL TELECOMMUNICATIONS
ENERGY CONFERENCE, 21, 1999, Copenhagen.
Proceedings …
Copenhagen: IEEE, 1999,
pag. irreg.
[7] SINGH, B.; GAIROLA, S.; SINGH, B. N.; et al. Multipulse AC-DC converters for improving
power quality: A review.
IEEE Transactions on Power Electronics
, New York, v.23, n.1, p.
261-281, 2008.
[8] ERICKSON, R. W.; MAKSIMOVIC, D.
Fundamentals of power electronics
. Verlag:
Springer, 2001. 912p.
[9] CANESIN, C. A.
Correção ativa do fator de potência
: Ilha Solteira: UNESP/FE. 2000.
117p. (Apostila da disciplina ministrada na pós-graduação).
[10] ALVES, R. L.; FONT, C. H. I.; BARBI, I. Novel unidirecional hybrid three-phase rectifier
system employing boost topology. In: PESC’05. POWER ELECTRONICS SPECIALISTS
CONFERENCE, 36, 2005, Recife.
Proceedings …
Recife: IEEE, 2005, p. 487-493.
237
[11]
IEC 61000-3-2
: limits for harmonic current emissions (input current
16 A per phase).
ed.1.2. Genebra: IEC, 1998. 49p. (International standard).
[12]
IEC 61000-3-4
: limits for emission of harmonic current in low-voltage power supply
systems for equipment with rated current greater than 16 A. ed.1. Genebra: IEC, 1998. 29p.
(International standard).
[13]
IE EE 519
: recommended pratices and requeriments for harmonic control in electrical power
systems. IEEE, 1992. (International standard).
[14] RAY, W. F.; DAVIS, R. M.; WEATHERHOGG, I. D. The three-phase bridge rectifier with
a capacitive load. In: INTERNATIONAL CONFERENCE ON POWER ELECTRONICS AND
VARIABLE-SPEED DRIVERS, 3, 1988, London.
Proceedings …
London: IEEE, 1988, p. 153-
156.
[15] PAICE, D. A.; SPREADBURY, R. J. Calculating and controlling harmonics caused by
power converters. In: IAS’89. INDUSTRY APPLICATION SOCIETY CONFERENCE, 2, 1989,
San Diego.
Proceedings …
San Diego: IEEE, 1989, p. 456-463.
[16] RICE, D. E. A detailed analysis of six-pulse converter harmonic currents.
IEEE
Transactions on Industrial Electronics
, v.30, n.2, p. 294-304, 1994.
[17] PAICE, D. A.
Power electronic converter harmonics
Multipulse methodos for clean
power. Piscataway: IEEE, 1995. 202p.
[18] NIERMANN, C. New rectifier circuits with low mains pollution and additional low cost
inverter for energy recovery. In: EPE’89. EUROPEAN CONFERENCE ON POWER
ELECTRONICS AND APPLICATIONS, 3, 1989, Aachen.
Proceedings …
Aachen: IEEE,
1989, p. 1131-1136.
[19] FILHO, W. C. P.; BARBI, I. A single stage high power factor 3 phase 60V/100A power
supply using a line-side interphase transformer and an isolated push-pull converter. In: APEC’98.
APPLIED POWER ELECTRONICS CONFERENCE AND EX POSITION, 13, 1998, Anaheim.
Proceedings...
Anaheim: IEEE, 1998, p. 1114-1119.
238
[20] CARLOS A.; MUÑOZ B.; BARBI, I. A new high power factor three-phase diode rectifier.
In: IECON’95. INDUSTRIAL ELECTRONICS, CONTROL, AND INSTRUMENTATION, 21,
1995, Orlando.
Proceedings...
Orlando: IEEE, 1995, p. 451-456.
[21] CARLOS A.; MUÑOZ B.; BARBI, I. Comparative analysis between two proposed uses of
the line inter-phase transformer in 12 pulse three phase rectifiers. In: I CIEP’96.
INTERNATIONAL POWER ELECTRONICS CONGRESS, 5, 1996,
Cuernavaca
.
Proceedings...
Cuernavaca
: IEEE, 1996, p. 212-216.
[22] GONG, G.; HELDWEIN, M. L.; DROFENIK, U.; MINO, K.; KOLAR, J. W.; Comparative
evaluation of three-phase high power factor AC-DC converter concepts for application in future
more electric aircrafts. In: APEC1994. APPLIED POWER ELECTRONICS CONFERENCE
AND EXPOSITION, 10, 1994, Orlando.
Proceedings...
Orlando: IEEE, 1994, p. 1152-1159.
[23] MINO, K.; GONG, G.; KOLAR, J. W. Novel hybrid 12-pulse boost-type rectifier with
controlled output voltage.
IEEE Transactions on Aerospace and Electronic Systems
, v.41,
n.3, p. 1008-1018, 2005.
[24] CHOI, S.; ENJETI, P. N.; PITEL, I. J. Polyphase transformer arrangements with reduced
KVA capacities for harmonic current reduction in rectifiers-type utility interface.
IEEE
Transactions on Power Electronics
, v.11, n.5, p. 680-690, 1996.
[25] MARTINIUS, S.; HALIMI, B.; DAHONO, P. A. A Transformer connection for multipulse
rectifier application. In: POWERCON 2002. INTERNATIONAL CONFERENCE ON POWER
SYSTEM TECHNOLOGY, 2, 2002, Kunming.
Proceedings...
Kunming: IEEE, 2002, p. 1021-
1024.
[26] LEE, B. S.; ENJETI, P. N.; PITEL, I. J. A new 24-pulse diode rectifier system for AC motor
drives provides clean power utility interface with low kVA components. In: IAS’96. INDUSTRY
APPLICATIONS CONFERENCE, 31, 1996, San Diego.
Proceedings...
San Diego: IEEE, 1996,
p. 1024-1031.
239
[27] RENDUSARA, D. A.; JOUANNE, A.; ENJETI, P. N.; PAICE, D. A. Design considerations
for six pulse and twelve pulse diode rectifier systems operating under voltage unbalance and pre-
existing voltage distortion with some corrective measures. In: IAS’95. INDUSTRY
APPLICATIONS CONFERENCE, 30, 1995, Orlando.
Proceedings...
Orlando: IEEE, 1995, p.
2549-2556.
[28] RENDUSARA, D. A.; JOUANNE, A.; ENJETI, P. N.; PAICE, D. A. design considerations
for 12-Pulse diode rectifier systems operating under voltage unbalance and pre-existing voltage
distortion with some corrective measures.
IEEE Transactions on Industry Applications
, New
York, v.32, n.6, p. 293-1303, 1996.
[29] SEIXAS, F. J. M. DE.
Conversores CA-CC de 12 kW com elevado fator de potência
utilizando autotransformador com conexão diferencial de múltiplos pulsos
. 2001. 205f.
Tese (Doutorado em Engenharia Elétrica) - Universidade Federal de Santa Catarina - UFSC,
Florianópolis, 2001.
[30] SEIXAS, F. J. M. DE.; BARBI, I. A new 12kW three-phase 18-pulse high power factor
AC-DC converter with regulated output voltage for rectifier units. In: INTELEC’99.
INTERNATIONAL TELECOMMUNICATIONS ENERGY CONFERENCE, 21, 1999,
Copenhagen.
Proceedings …
Copenhagen: IEEE, 1999, 8p.
[31] TANAKA, T.; KOSHIO, N.; AKAGI, H. A novel method of reducing the supply current
harmonics of a 12-pulse thyristor rectifier with an interphase reactor. In: IAS’96. INDUSTRY
APPLICATIONS CONFERENCE, 31, 1996, San Diego.
Proceedings...
San Diego: IEEE, 1996,
p. 1256-1262.
[32] BATISTA, F. A. B.; FONT, C. H. I.; BARBI, I. Comparison of control techniques applied to
boost-type bidirectional three-phase PWM rectifier. In: INDUSCON2006. CONFERÊNCIA
INTERNACIONAL EM APLICAÇÕES INDUSTRIAIS, 6, 2006, Recife.
Anais …
Recife:
Induscon,
[s.n], 2006.
[33] SKAVARENINA, T. L.
The power electronics handbook
. Boca Raton: CRC, 2002. 494p.
240
[34] FONT, C. H. I.; BATISTA, F. A. B.; ALVES, R. L.; BARBI, I. Modulation techniques for
a bidirectional three-phase switch-mode PWM rectifier. In: INDUSCON2006. CONFERÊNCIA
INTERNACIONAL EM APLICAÇÕES INDUSTRIAIS, 6, 2006, Recife.
Anais …
Recife:
Induscon,
[S.n],
2006.
[35] SALO, M. A three-switch current-source PWM rectifier with active filter function. In:
PESC2005. POWER ELECTRONICS SPECIALISTS CONFERENCE, 36, 2005, Recife.
Proceedings …
Recife: IEEE, 2005, p. 2230-2236.
[36] PRASAD, A. R.; ZIOGAS, P. D.; MANIAS, S. An active power factor correction technique
for three-phase diode rectifiers. In: PESC1989. POWER ELECTRONICS SPECIALISTS
CONFERENCE, 20, 1989, Milwaukee.
Proceedings …
Milwaukee: IEEE, 1989, p. 58-66.
[37] ALVES, R. L.; BARBI, I. A new hybrid high power factor three-phase unidirectional
rectifier. In: ISIE2006. INTERNATIONAL SYMPOSIUM ON INDUSTRIAL ELECTRONICS,
2006, Montreal.
Proceedings …
Montreal: IEEE, 2006, p. 1046-1051.
[38] FONT, C. H. I.; BARBI, I. A new nigh power pactor bidirectional hybrid three-phase
rectifier. In: APEC2006. APPLIED POWER ELECTRONICS CONFERENCE AND
EXPOSITION, 21, 2006, Dallas.
Proceedings...
Dallas: IEEE, 2006, p. 1300-1306.
[39] SATO, Y.; KAWAMURA, K.; MORIMOTO, H.; NEZU, K. Hybrid PWM rectifiers to
reduce electromagnetic interference. In: IAS2002. INDUSTRY APPLICATIONS
CONFERENCE, 37, 2002, Pittsburgh.
Proceedings...
Pittsburgh: IEEE, 2002, p. 2141-2146.
[40] TRZYNADLOWSKI, A. M. A hybrid, current-source/voltage-source power inverter circuit.
IEEE Transactions on Power Electronics
, New York, v.16, n.6, p. 866-871, 2001.
[41] FREITAS, L. C. G.; SIMÕES, M. G.; CANESIN, C. A.; FREITAS, L. C. A novel
programmable PFC based hybrid rectificer for ultra clean power application. In: PESC2004.
POWER ELECTRONICS SPECIALISTS CONFERENCE, 35, 2004,
Aachen
.
Proceedings …
Aachen
: IEEE, 2004, p. 2172-2177.
241
[42] FREITAS, L. C. G.; SIMÕES, M. G.; CANESIN, C. A.; FREITAS, L. C.; COELHO, E. A.
A. Programmable PFC based hybrid multipulse power rectificer for utility interface of power
electronic converters. In: PESC2005. POWER ELECTRONICS SPECIALISTS CONFERENCE,
36, 2005, Recife.
Proceedings …
Recife: IEEE, 2005, p. 2237-2243.
[43] FREITAS, L. C. G.; VICENZI, F., FREITAS, M. A. A., FERNANDES, E. R.,
MENDONÇA, R. G. Programmable PFC based hybrid multipulse power rectifier with
sinusoidal input line current imposed by digital controller. In: APEC2007. APPLIED POWER
ELECTRONICS CONFERENCE AND EXPOSITION, 22, 2007, Anaheim.
Proceedings...
Anaheim: IEEE, 2007, p. 1356-1361.
[44] ROSSETTO, L.; SPIAZZI, G. Control techniques for power factor correction converters.
Disponível em:
<http://www.dei.unipd.it/~pel/Articoli/1994/Pemc/Pemc94.pdf>.
Acesso em:
30 set. 2008.
[45] STEFANUTTI, W.; MATTAVELLI, P. Fully digital hysteresis modulation with switching-
time prediction.
IEEE Transactions on Industry Applications
, New York, v.42, n.3, p. 763-
769, 2006.
[46] SONAGLIONI, L. Predictive digital hysteresis current control. In: IAS1995. INDUSTRY
APPLICATIONS CONFERENCE, 30, 1995, Orlando.
Proceedings...
Orlando: IEEE, 1995, p.
1879-1886.
[47] AHMED, A.
Eletrônica de Potência:
São Paulo: Prentice Hall, 2000. 479p.
[48] MARTINS, D. C.; OLIVEIRA, A. H.; BARBI, I. Retificador trifásico isolado com correção
do fator de potência empregando o conversor CC-CC sepic em condução contínua.
Eletrônica de
Potência:
Revista da Associação Brasileira de Eletrônica de Potência, Campinas, v.6, n.1, p. 8-
15, 2001.
[49] BARBI, I.
Eletrônica de Potência:
Projeto de fontes chaveadas. [S.n], 2001. 370p.
[50] MARTIGNONI, A.
Transformadores.
São Paulo: [S.n], 2001. 307p.
242
[51] RIDDLEY, R. Flyback Converter Snubber Design.
Switching Power Magazine
, Roswell,
p.1-7, 2005. Disponível em:
<http://www.switchingpowermagazine>.
Acesso em: 17 mai.
2007.
[52] PERRY, D. L.
McGraw-Hill series on computer engineering
. [S.I.]: [S.n], 1993. 390p.
[53] CHANG, K. C.
Digital design and modeling with VHDL and synthesis.
[S.I.]: [S.n],
1997. 345p.
[54] MATAR, M.; ABDEL-RAHMAN, M.; SOLIMAN, A. FPGA-based real-time digital
simulation. In: IPST05. INTERNATIONAL CONFERENCE ON POWER SYSTEMS
TRANSIENTS, 6, 2005, Montreal.
Proceedings...
Montreal: IEEE, 2005. pag. irreg.
[55] DANTAS, F. D.; MORAES, J. B.; PORTELA, M. L.; SILVA, A. C. R; CANESIN, C. A.
Um controlador digital em VHDL aplicado para correção ativa do fator de potência num
regulador de tensão alternada. In: ENPIC’03. ENCONTRO DE PESQUISA E INICIAÇÃO
CIENTÍFICA DO ESTADO E REGIÃO DO PANTANAL, 3, 2003, Campo Grande.
Anais …
Campo Grande: UNIDERP, 2003.
[56] DANTAS, F. D.; CANESIN, C. A. A new high–power–factor ac voltage regulator in high-
switching frequency of operation. In: COBEP’03. CONGRESSO BRASILEIRO DE
ELETRÔNICA DE POTÊNCIA, 7, 2003, Fortaleza.
Anais…
Fortaleza: COBEP, 2003.
[57] OPPENHEIM, A. W.; SCHAFER, R. W.
Discrete-time signal processing
. New Jersey:
Prentice Hall, 1999. 870p.
243
Apêndice A
Código VHDL – Modulação por histerese
Componente: “Gerenciador Controle”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity gerenciador_controle is
Port ( Clk_in_gerCont : in std_logic:='0';
Sclk_1_gerCont : out std_logic:='0';
Sclk_2_gerCont : out std_logic:='0';
Sclk_3_gerCont : out std_logic:='0';
Sclk_gerCont : out std_logic:='0';
CS_Sepic_1_gerCont : out std_logic:='0';
CS_Sepic_2_gerCont : out std_logic:='0';
CS_Sepic_3_gerCont : out std_logic:='0';
CS_IRetif1_gerCont : out std_logic:='0';
D_in_gerCont : in std_logic:='0';
D_in_1_gerCont : in std_logic:='0';
D_in_2_gerCont : in std_logic:='0';
D_in_3_gerCont : in std_logic:='0';
SW5_Aquisicao_gerCont : in std_logic:='0';
PulsoSEPIC_1_gerCont : out std_logic:='0';
PulsoSEPIC_2_gerCont : out std_logic:='0';
PulsoSEPIC_3_gerCont : out std_logic:='0' );
end gerenciador_controle;
architecture Behavioral of gerenciador_controle is
component aquisicao_gerenciador
Port ( Clk_in_gerAq : in std_logic:='0';
Sclk_1_gerAq : out std_logic:='0';
Sclk_2_gerAq : out std_logic:='0';
Sclk_3_gerAq : out std_logic:='0';
Sclk_gerAq : out std_logic:='0';
CS_Sepic_1_gerAq : out std_logic:='0';
CS_Sepic_2_gerAq : out std_logic:='0';
CS_Sepic_3_gerAq : out std_logic:='0';
CS_IRetif1_gerAq : out std_logic:='0';
D_in_gerAq : in std_logic:='0';
D_in_1_gerAq : in std_logic:='0';
D_in_2_gerAq : in std_logic:='0';
D_in_3_gerAq : in std_logic:='0';
dado_gerAq : out std_logic_vector(7 downto 0):="00000000";
dado_1_gerAq : out std_logic_vector(7 downto 0):="00000000";
dado_2_gerAq : out std_logic_vector(7 downto 0):="00000000";
dado_3_gerAq : out std_logic_vector(7 downto 0):="00000000";
SW4_SEPICs_gerCont : in std_logic:='0';
Semiciclo_Va_gerCont : in std_logic:='0';
Semiciclo_Vb_gerCont : in std_logic:='0';
Semiciclo_Vc_gerCont : in std_logic:='0';
SW5_Aquisicao_gerAq : in std_logic:='0' );
end component;
component gerenciador_offset_aquisicao
244
Port ( gerOffset_Clk: in std_logic:='0';
gerOffset_IRetif1 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_SW5_Aquisicao: in std_logic:='0';
gerOffset_Iin1 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin1_offset : out std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin2 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin2_offset : out std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin3 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin3_offset : out std_logic_vector(7 downto 0):="00000000" );
end component;
component gerenciador_GeraRefSEPIC
Port ( clk_gerRef : in std_logic:='0';
Iretif1_gerRef : in std_logic_vector(7 downto 0):="00000000";
Isin_1_gerRef : in std_logic_vector (7 downto 0):="00000000";
Isin_2_gerRef : in std_logic_vector (7 downto 0):="00000000";
Isin_3_gerRef : in std_logic_vector (7 downto 0):="00000000";
IsenRef_1_gerRef : out std_logic_vector(8 downto 0):="000000000";
IsenRef_2_gerRef : out std_logic_vector(8 downto 0):="000000000";
IsenRef_3_gerRef : out std_logic_vector(8 downto 0):="000000000" );
end component;
component Sinal_sin_gerenciador
Port ( Clk_in_gerSin: in std_logic:='0';
Semiciclo_Va_gerSin: in std_logic:='0';
Semiciclo_Vb_gerSin: in std_logic:='0';
Semiciclo_Vc_gerSin: in std_logic:='0';
SW5_Aquisicao_gerSin: in std_logic:='0';
Isin_1_gerSin : out std_logic_vector (7 downto 0):="00000000";
Isin_2_gerSin : out std_logic_vector (7 downto 0):="00000000";
Isin_3_gerSin : out std_logic_vector (7 downto 0):="00000000" );
end component;
component Modulador_Histerese_gerenciador
port( Clk_Mod_gerHist: in std_logic:='0';
Iin_1_gerHist : in std_logic_vector (7 downto 0):="00000000";
Iin_2_gerHist : in std_logic_vector (7 downto 0):="00000000";
Iin_3_gerHist : in std_logic_vector (7 downto 0):="00000000";
Ref_Sin_1_gerHist : in std_logic_vector (8 downto 0):="000000000";
Ref_Sin_2_gerHist : in std_logic_vector (8 downto 0):="000000000";
Ref_Sin_3_gerHist : in std_logic_vector (8 downto 0):="000000000";
On_Sp1_gerHist: in std_logic:='0';
On_Sp2_gerHist: in std_logic:='0';
On_Sp3_gerHist: in std_logic:='0';
PulsoSEPIC_1_gerHist: out std_logic:='0';
PulsoSEPIC_2_gerHist: out std_logic:='0';
PulsoSEPIC_3_gerHist: out std_logic:='0' );
end component;
component Barramento_Va_Vb_Vc
Port ( Clk_in: in std_logic:='0';
Clk_20us: out std_logic:='0';
On_sp1: out std_logic:='0';
On_sp2: out std_logic:='0';
On_sp3: out std_logic:='0';
SW5_Aquisicao: in std_logic:='0';
SW4_SEPICs: in std_logic:='0';
Semiciclo_Va: in std_logic:='0';
Semiciclo_Vb: in std_logic:='0';
Semiciclo_Vc: in std_logic:='0';
Semiciclo_Va_out: out std_logic:='0';
Semiciclo_Vb_out: out std_logic:='0';
Semiciclo_Vc_out: out std_logic:='0' );
end component;
245
Signal Sinal_dado: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_dado_1: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_dado_2: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_dado_3: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Iin1_Offset: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Iin2_Offset: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Iin3_Offset: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Isin_1: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Isin_2: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Isin_3: std_logic_vector(7 downto 0):="00000000";
Signal Sinal_Ref_Sin_1: std_logic_vector(8 downto 0):="000000000";
Signal Sinal_Ref_Sin_2: std_logic_vector(8 downto 0):="000000000";
Signal Sinal_Ref_Sin_3: std_logic_vector(8 downto 0):="000000000";
Signal Sinal_On_sp1: std_logic:='0';
Signal Sinal_On_sp2: std_logic:='0';
Signal Sinal_On_sp3: std_logic:='0';
Signal Sinal_Semiciclo_Va: std_logic:='0';
Signal Sinal_Semiciclo_Vb: std_logic:='0';
Signal Sinal_Semiciclo_Vc: std_logic:='0';
begin
Inst_aquisicao_gerenciador : aquisicao_gerenciador port map
( Clk_in_gerAq => Clk_in_gerCont,
Clk_200ns_gerAq => Sinal_Clk_200ns,
Sclk_1_gerAq => Sclk_1_gerCont,
Sclk_2_gerAq => Sclk_2_gerCont,
Sclk_3_gerAq => Sclk_3_gerCont,
Sclk_gerAq => Sclk_gerCont,
CS_Sepic_1_gerAq => CS_Sepic_1_gerCont,
CS_Sepic_2_gerAq => CS_Sepic_2_gerCont,
CS_Sepic_3_gerAq => CS_Sepic_3_gerCont,
CS_IRetif1_gerAq => CS_IRetif1_gerCont,
D_in_gerAq => D_in_gerCont,
D_in_1_gerAq => D_in_1_gerCont,
D_in_2_gerAq => D_in_2_gerCont,
D_in_3_gerAq => D_in_3_gerCont,
dado_gerAq => Sinal_dado,
dado_1_gerAq => Sinal_dado_1,
dado_2_gerAq => Sinal_dado_2,
dado_3_gerAq => Sinal_dado_3,
SW5_Aquisicao_gerAq => SW5_Aquisicao_gerCont );
Inst_gerenciador_offset_aquisicao: gerenciador_offset_aquisicao port map
( gerOffset_SW5_Aquisicao => SW5_Aquisicao_gerCont,
gerOffset_Clk => Clk_in_gerCont,
gerOffset_IRetif1 => Sinal_dado,
gerOffset_Iin1 => Sinal_dado_1,
gerOffset_Iin2 => Sinal_dado_2,
gerOffset_Iin3 => Sinal_dado_3,
gerOffset_Iin1_offset => Sinal_Iin1_Offset,
gerOffset_Iin2_offset => Sinal_Iin2_Offset,
gerOffset_Iin3_offset => Sinal_Iin3_Offset );
Inst_gerenciador_GeraRefSEPIC: gerenciador_GeraRefSEPIC port map
( clk_gerRef => Sinal_Clk_fs,
Isin_1_gerRef => Sinal_Isin_1,
Isin_2_gerRef => Sinal_Isin_2,
Isin_3_gerRef => Sinal_Isin_3,
Iretif1_gerRef => Sinal_dado,
IsenRef_1_gerRef => Sinal_Ref_Sin_1,
246
IsenRef_2_gerRef => Sinal_Ref_Sin_2,
IsenRef_3_gerRef => Sinal_Ref_Sin_3 );
Inst_Sinal_sin_gerenciador: Sinal_sin_gerenciador port map
( Clk_in_gerSin => Clk_in_gerCont,
Semiciclo_Va_gerSin => Sinal_Semiciclo_Va,
Semiciclo_Vb_gerSin => Sinal_Semiciclo_Vb,
Semiciclo_Vc_gerSin => Sinal_Semiciclo_Vc,
SW5_Aquisicao_gerSin => SW5_Aquisicao_gerCont,
SW4_SEPICs => SW4_SEPICs_gerCont,
Isin_1_gerSin => Sinal_Isin_1,
Isin_2_gerSin => Sinal_Isin_2,
Isin_3_gerSin => Sinal_Isin_3 );
Inst_Modulador_Histerese_gerenciador: Modulador_Histerese_gerenciador port map
( Clk_Mod_gerHist => Clk_in_gerCont,
Iin_1_gerHist => Sinal_Iin1_Offset,
Iin_2_gerHist => Sinal_Iin2_Offset,
Iin_3_gerHist => Sinal_Iin3_Offset,
On_Sp1_gerHist => Sinal_On_sp1,
On_Sp2_gerHist => Sinal_On_sp2,
On_Sp3_gerHist => Sinal_On_sp3,
Ref_Sin_1_gerHist => Sinal_Ref_Sin_1,
Ref_Sin_2_gerHist => Sinal_Ref_Sin_2,
Ref_Sin_3_gerHist => Sinal_Ref_Sin_3,
PulsoSEPIC_1_gerHist => PulsoSEPIC_1_gerCont,
PulsoSEPIC_2_gerHist => PulsoSEPIC_2_gerCont,
PulsoSEPIC_3_gerHist => PulsoSEPIC_3_gerCont );
Inst_Barramento_Va_Vb_Vc: Barramento_Va_Vb_Vc port map
( Clk_in => Clk_in_gerCont,
Clk_20us => Sinal_Clk_fs,
On_sp1 => Sinal_On_sp1,
On_sp2 => Sinal_On_sp2,
On_sp3 => Sinal_On_sp3,
SW5_Aquisicao => SW5_Aquisicao_gerCont,
SW4_SEPICs => SW4_SEPICs_gerCont,
Semiciclo_Va => Semiciclo_Va_gerCont,
Semiciclo_Vb => Semiciclo_Vb_gerCont,
Semiciclo_Vc => Semiciclo_Vc_gerCont,
Semiciclo_Va_out => Sinal_Semiciclo_Va,
Semiciclo_Vb_out => Sinal_Semiciclo_Vb,
Semiciclo_Vc_out => Sinal_Semiciclo_Vc );
end Behavioral;
Componente: “aquisicao_gerenciador”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity aquisicao_gerenciador is
Port ( Clk_in_gerAq : in std_logic:='0';
Sclk_1_gerAq : out std_logic:='0';
Sclk_2_gerAq : out std_logic:='0';
Sclk_3_gerAq : out std_logic:='0';
Sclk_gerAq : out std_logic:='0';
CS_Sepic_1_gerAq : out std_logic:='0';
CS_Sepic_2_gerAq : out std_logic:='0';
CS_Sepic_3_gerAq : out std_logic:='0';
247
CS_IRetif1_gerAq : out std_logic:='0';
D_in_gerAq : in std_logic:='0';
D_in_1_gerAq : in std_logic:='0';
D_in_2_gerAq : in std_logic:='0';
D_in_3_gerAq : in std_logic:='0';
dado_gerAq : out std_logic_vector(7 downto 0):="00000000";
dado_1_gerAq : out std_logic_vector(7 downto 0):="00000000";
dado_2_gerAq : out std_logic_vector(7 downto 0):="00000000";
dado_3_gerAq : out std_logic_vector(7 downto 0):="00000000";
SW5_Aquisicao_gerAq : in std_logic:='0' );
end aquisicao_gerenciador;
architecture Behavioral of aquisicao_gerenciador is
component aquisicao
Port ( Clk_in: in std_logic:='0';
SW5_Aquisicao: in std_logic:='0';
D_in: in std_logic:='0';
CS_8bits : out std_logic:='0';
Sclk : out std_logic:='0';
TipoAquisicao : in std_logic:='0';
dado : out std_logic_vector(7 downto 0):="00000000" );
end component;
begin
Inst_aquisicao_Iret1 : aquisicao port map
( TipoAquisicao => '0',
Clk_in => Clk_in_gerAq,
SW5_Aquisicao => SW5_Aquisicao_gerAq,
D_in => D_in_gerAq,
CS_8bits => CS_IRetif1_gerAq,
Sclk => Sclk_gerAq,
dado => dado_gerAq );
Inst_aquisicao_Sepic1 : aquisicao port map
( TipoAquisicao => '1',
Clk_in => Clk_in_gerAq,
SW5_Aquisicao => SW5_Aquisicao_gerAq,
D_in => D_in_1_gerAq,
CS_8bits => CS_Sepic_1_gerAq,
Sclk => Sclk_1_gerAq,
dado => dado_1_gerAq );
Inst_aquisicao_Sepic2 : aquisicao port map
( TipoAquisicao => '1',
Clk_in => Clk_in_gerAq,
SW5_Aquisicao => SW5_Aquisicao_gerAq,
D_in => D_in_2_gerAq,
CS_8bits => CS_Sepic_2_gerAq,
Sclk => Sclk_2_gerAq,
dado => dado_2_gerAq );
Inst_aquisicao_Sepic3 : aquisicao port map
( TipoAquisicao => '1',
Clk_in => Clk_in_gerAq,
SW5_Aquisicao => SW5_Aquisicao_gerAq,
D_in => D_in_3_gerAq,
CS_8bits => CS_Sepic_3_gerAq,
Sclk => Sclk_3_gerAq,
dado => dado_3_gerAq );
end Behavioral;
248
Componente: “aquisicao” Obs: Referenciado no Capítulo 5 como Componente “A”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity aquisicao is
Port ( Clk_in: in std_logic:='0';
SW5_Aquisicao: in std_logic:='0';
D_in: in std_logic:='0';
CS_8bits : out std_logic:='0';
Sclk : out std_logic:='0';
TipoAquisicao : in std_logic:='0';
dado : out std_logic_vector(7 downto 0):="00000000" );
end aquisicao;
architecture Behavioral of aquisicao is
type estados2 is (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11,off);
signal Aquis : estados2;
type estados1 is (start,Son,Soff,Soff_1,Son_1,Son_2,Son_3);
signal estado : estados1;
Signal Sinal_Sclk: std_logic:='0';
Signal Sinal_CS_8bits: std_logic:='0';
Begin
process(Clk_in)
variable cont: integer range 0 to 63 :=0;
variable cont_sclk: integer range 0 to 63 :=0;
variable ValorCont1, ValorCont2 : integer:=0;
variable ValorEspera : integer:=0;
constant ValorAq : integer:=12;
begin
if(Clk_in'event and Clk_in='1') then
if SW5_Aquisicao='0' then estado<=start; end if;
case estado is
when start => Sclk<='0'; CS_8bits<='0'; cont:=0; cont_sclk:=0;
Sinal_Sclk<='0'; Sinal_CS_8bits<='0';
----------------------------------------------------------------------------------------------------------------
-- Escolhe a frequência de aquisição
-------------------------------------------------------------------------------------------------------------------
Taq=2.Tclock.ValorCont1.{ValorAq+ValorEspera} => Taq=Perído de aquisição
----------------------------------------------------------------------------------------------------------------
if TipoAquisicao='1' then ValorCont1:=4; ValorCont2:=2; ValorEspera:=2;
else ValorCont1:=20; ValorCont2:=10; ValorEspera:=13;
end if;
if SW5_Aquisicao='1' then estado<=Son; end if;
when Son => Sclk<='1'; CS_8bits<='0'; Sinal_Sclk<='1'; Sinal_CS_8bits<='0';
cont:=cont+1;
249
if cont=ValorCont1 then cont:=0; estado<=Soff; end if;
if SW5_Aquisicao='0' then estado<=start; end if;
when Soff => Sclk<='0'; CS_8bits<='0'; Sinal_Sclk<='0'; Sinal_CS_8bits<='0';
cont:=cont+1;
if cont=ValorCont1 then cont:=0; cont_sclk:=cont_sclk+1;
if cont_sclk=ValorAq then estado<=Son_1; cont_sclk:=0; else estado<=Son;
end if;
end if;
if SW5_Aquisicao='0' then estado<=start; end if;
when Son_1 => Sclk<='1'; CS_8bits<='0'; Sinal_Sclk<='1'; Sinal_CS_8bits<='0';
cont:=cont+1;
if cont=ValorCont2 then estado<=Son_2; end if;
if SW5_Aquisicao='0' then estado<=start; end if;
when Son_2 => Sclk<='1'; CS_8bits<='1'; Sinal_Sclk<='1'; Sinal_CS_8bits<='1';
cont:=cont+1;
if cont=ValorCont1 then estado<=Soff_1; cont:=0; end if;
if SW5_Aquisicao='0' then estado<=start; end if;
when Soff_1 => Sclk<='0'; CS_8bits<='1'; Sinal_Sclk<='0'; Sinal_CS_8bits<='1'; cont:=cont+1;
if cont=ValorCont1 then cont:=0; cont_sclk:=cont_sclk+1;
if cont_sclk=ValorEspera then estado<=Son_3; cont_sclk:=0; else estado<=Son_2;
end if;
end if;
if SW5_Aquisicao='0' then estado<=start; end if;
when Son_3 => Sclk<='1'; CS_8bits<='1'; Sinal_Sclk<='1'; Sinal_CS_8bits<='1';
cont:=cont+1;
if cont=ValorCont2 then estado<=Son; end if;
if SW5_Aquisicao='0' then estado<=start; end if;
when others => null;
end case;
end if;
end process;
process(Sinal_Sclk,Sinal_CS_8bits)
variable D : std_logic_vector(7 downto 0):="00000000";
variable D_in_temp : std_logic:='0';
variable D15,D14,D13,D12,D11,D10,D9,D8,D7,D6,D5,D4 : std_logic:='0';
250
variable dado_temp : std_logic_vector(7 downto 0):="00000000";
begin
if(Sinal_Sclk'event and Sinal_Sclk='0') then
if Sinal_CS_8bits='0' then
D_in_temp:=D_in;
case Aquis is
when S0 => D15:=D_in_temp; Aquis <= S1;
when S1 => D14:=D_in_temp; Aquis <= S2;
when S2 => D13:=D_in_temp; Aquis <= S3;
when S3 => D12:=D_in_temp; Aquis <= S4;
when S4 => D11:=D_in_temp; Aquis <= S5;
when S5 => D10:=D_in_temp; Aquis <= S6;
when S6 => D9:=D_in_temp; Aquis <= S7;
when S7 => D8:=D_in_temp; Aquis <= S8;
when S8 => D7:=D_in_temp; Aquis <= S9;
when S9 => D6:=D_in_temp; Aquis <= S10;
when S10 => D5:=D_in_temp; Aquis <= S11;
when S11 => D4:=D_in_temp;
dado_temp:=(D11&D10&D9&D8&D7&D6&D5&D4);
dado<=dado_temp;
Aquis <= off;
when off =>
when others => null;
end case;
else Aquis <= S0;
end if;
end if;
end process;
end Behavioral;
Componente: “Barramento_Va_Vb_Vc”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Barramento_Va_Vb_Vc is
Port ( Clk_in: in std_logic:='0';
Clk_20us: out std_logic:='0';
On_sp1: out std_logic:='0';
On_sp2: out std_logic:='0';
On_sp3: out std_logic:='0';
SW5_Aquisicao: in std_logic:='0';
SW4_SEPICs: in std_logic:='0';
Semiciclo_Va: in std_logic:='0';
Semiciclo_Vb: in std_logic:='0';
Semiciclo_Vc: in std_logic:='0';
Semiciclo_Va_out: out std_logic:='0';
Semiciclo_Vb_out: out std_logic:='0';
Semiciclo_Vc_out: out std_logic:='0' );
end Barramento_Va_Vb_Vc;
architecture Behavioral of Barramento_Va_Vb_Vc is
251
type estados1 is (Start_1,S1_ON);
signal Estado1 : estados1;
type estados2 is (Start_2,S2_ON);
signal Estado2 : estados2;
type estados3 is (Start_3,S3_ON);
signal Estado3 : estados3;
type estados4 is (Start,S_ON,S_OFF);
signal GeraClock : estados4;
signal Semiciclo_Va_temp: std_logic:='0';
signal Semiciclo_Vb_temp: std_logic:='0';
signal Semiciclo_Vc_temp: std_logic:='0';
begin
process(Clk_in)
variable j: integer range 0 to 511 :=0;
begin
if(Clk_in'event and Clk_in='1') then
if SW5_Aquisicao='0' then GeraClock<=Start; end if;
case GeraClock is
when Start => j:=0; Clk_20us<='0';
if SW5_Aquisicao='1' then GeraClock<=S_ON; end if;
when S_ON => Clk_20us<='1'; j:=j+1;
if j=500 then j:=0; GeraClock<=S_OFF; end if;
if SW5_Aquisicao='0' then GeraClock<=Start; end if;
when S_OFF => Clk_20us<='0'; j:=j+1;
if j=500 then j:=0; GeraClock<=S_ON; end if;
if SW5_Aquisicao='0' then GeraClock<=Start; end if;
end case;
Semiciclo_Va_temp<=Semiciclo_Va;
Semiciclo_Vb_temp<=Semiciclo_Vb;
Semiciclo_Vc_temp<=Semiciclo_Vc;
Semiciclo_Va_out<=Semiciclo_Va_temp;
Semiciclo_Vb_out<=Semiciclo_Vb_temp;
Semiciclo_Vc_out<=Semiciclo_Vc_temp;
end if;
end process;
process (Semiciclo_Va_temp,Semiciclo_Vb_temp,Semiciclo_Vc_temp)
begin
if(Semiciclo_Va_temp'event and Semiciclo_Va_temp='1') then
if SW4_SEPICs='0' then Estado1<=Start_1; end if;
case Estado1 is
when Start_1 => On_sp1<='0';
if SW4_SEPICs='1' then Estado1<=S1_ON;end if;
252
when S1_ON => On_sp1<='1';
if SW4_SEPICs='0' then Estado1<=Start_1; end if;
end case;
end if;
if(Semiciclo_Vb_temp'event and Semiciclo_Vb_temp='1') then
if SW4_SEPICs='0' then Estado2<=Start_2; end if;
case Estado2 is
when Start_2 => On_sp2<='0';
if SW4_SEPICs='1' then Estado2<=S2_ON; end if;
when S2_ON => On_sp2<='1';
if SW4_SEPICs='0' then Estado2<=Start_2; end if;
end case;
end if;
if(Semiciclo_Vc_temp'event and Semiciclo_Vc_temp='1') then
if SW4_SEPICs='0' then Estado3<=Start_3; end if;
case Estado3 is
when Start_3 => On_sp3<='0';
if SW4_SEPICs='1' then Estado3<=S3_ON; end if;
when S3_ON => On_sp3<='1';
if SW4_SEPICs='0' then Estado3<=Start_3; end if;
end case;
end if;
end process;
end Behavioral;
Componente: “gerenciador_gerarefsepic” Obs: no Capítulo 5 Componente “D”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity gerenciador_GeraRefSEPIC is
Port ( clk_gerRef : in std_logic:='0';
Iretif1_gerRef : in std_logic_vector(7 downto 0):="00000000";
Isin_1_gerRef : in std_logic_vector (7 downto 0):="00000000";
Isin_2_gerRef : in std_logic_vector (7 downto 0):="00000000";
Isin_3_gerRef : in std_logic_vector (7 downto 0):="00000000";
IsenRef_1_gerRef : out std_logic_vector(8 downto 0):="000000000";
IsenRef_2_gerRef : out std_logic_vector(8 downto 0):="000000000";
IsenRef_3_gerRef : out std_logic_vector(8 downto 0):="000000000" );
end gerenciador_GeraRefSEPIC;
253
architecture Behavioral of gerenciador_GeraRefSEPIC is
attribute box_type : string;
component gerarefsepic10_clk_wrapper
Port ( ce : in std_logic:='1';
clk : in std_logic:='0';
Isen1 : in std_logic_vector(7 downto 0):="00000000";
Isen2 : in std_logic_vector(7 downto 0):="00000000";
Isen3 : in std_logic_vector(7 downto 0):="00000000";
Iretif1 : in std_logic_vector(7 downto 0):="00000000";
IsenRef1 : out std_logic_vector(8 downto 0):="000000000";
IsenRef2 : out std_logic_vector(8 downto 0):="000000000";
IsenRef3 : out std_logic_vector(8 downto 0):="000000000" );
end component;
attribute box_type of gerarefsepic10_clk_wrapper : component is "black_box";
begin
Inst_gerarefsepic_clk_wrapper: gerarefsepic10_clk_wrapper port map
( ce => '1',
clk => clk_gerRef,
Isen1 => Isin_1_gerRef,
Isen2 => Isin_2_gerRef,
Isen3 => Isin_3_gerRef,
Iretif1 => Iretif1_gerRef,
IsenRef1 => IsenRef_1_gerRef,
IsenRef2 => IsenRef_2_gerRef,
IsenRef3 => IsenRef_3_gerRef );
end Behavioral;
Componente: “gerenciador_offset_aquisicao”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity gerenciador_offset_aquisicao is
Port ( gerOffset_Clk: in std_logic:='0';
gerOffset_IRetif1 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_SW5_Aquisicao: in std_logic:='0';
gerOffset_Iin1 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin1_offset : out std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin2 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin2_offset : out std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin3 : in std_logic_vector(7 downto 0):="00000000";
gerOffset_Iin3_offset : out std_logic_vector(7 downto 0):="00000000" );
end gerenciador_offset_aquisicao;
architecture Behavioral of gerenciador_offset_aquisicao is
component Offset_aquisicao
Port ( Clk_Offset: in std_logic:='0';
SW5_Aquisicao : in std_logic:='0';
Iin : in std_logic_vector(7 downto 0):="00000000";
IRetif1 : in std_logic_vector(7 downto 0):="00000000";
Iin_offset : out std_logic_vector(7 downto 0):="00000000" );
end component;
begin
Inst_Offset_aquisicao_Sepic1 : Offset_aquisicao port map
( Clk_Offset => gerOffset_Clk,
SW5_Aquisicao => gerOffset_SW5_Aquisicao,
254
Iin => gerOffset_Iin1,
IRetif1 => gerOffset_IRetif1,
Iin_offset => gerOffset_Iin1_offset );
Inst_Offset_aquisicao_Sepic2 : Offset_aquisicao port map
( Clk_Offset => gerOffset_Clk,
SW5_Aquisicao => gerOffset_SW5_Aquisicao,
Iin => gerOffset_Iin2,
IRetif1 => gerOffset_IRetif1,
Iin_offset => gerOffset_Iin2_offset );
Inst_Offset_aquisicao_Sepic1 : Offset_aquisicao port map
( Clk_Offset => gerOffset_Clk,
SW5_Aquisicao => gerOffset_SW5_Aquisicao,
Iin => gerOffset_Iin3,
IRetif1 => gerOffset_IRetif1,
Iin_offset => gerOffset_Iin3_offset );
end Behavioral;
Componente: “Offset_aquisicao”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Offset_aquisicao is
Port ( Clk_Offset: in std_logic:='0';
SW5_Aquisicao : in std_logic:='0';
Iin : in std_logic_vector(7 downto 0):="00000000";
IRetif1 : in std_logic_vector(7 downto 0):="00000000";
Iin_offset : out std_logic_vector(7 downto 0):="00000000" );
end Offset_aquisicao;
architecture Behavioral of Offset_aquisicao is
type estados is (Stemp,Son);
signal Estado_offset : estados;
type estados4 is (Start_4,S4_ON,S4_OFF);
signal Estado4 : estados4;
signal Aquis_partida : std_logic:='0';
begin
process(Clk_Offset)
variable Iin_offset_var : std_logic_vector(7 downto 0):="00000000";
variable Iin_var : std_logic_vector(7 downto 0):="00000000";
variable IRetif1_var : std_logic_vector(7 downto 0):="00000000";
variable dif1, dif2 : std_logic_vector(7 downto 0):="00000000";
variable j: integer range 0 to 1023 :=0;
begin
if(Clk_Offset'event and Clk_Offset='1') then
Iin_var:=Iin;
IRetif1_var:=IRetif1;
if SW5_Aquisicao='0' then Estado4<=Start_4; end if;
case Estado4 is
when Start_4 => Aquis_partida<='0'; j:=0;
if SW5_Aquisicao='1' then Estado4<=S4_OFF; end if;
255
when S4_OFF => Aquis_partida<='0'; j:=j+1;
if j=1000 then j:=0; Estado4<=S4_ON; end if;
if SW5_Aquisicao='0' then Estado4<=Start_4; end if;
when S4_ON => Aquis_partida<='1';
if SW5_Aquisicao='0' then Estado4<=Start_4; end if;
end case;
if Aquis_partida='0' then Estado_offset<=Stemp; end if;
case Estado_offset is
when Stemp =>
if IRetif1_var>Iin_var then dif1:=IRetif1_var-Iin_var; dif2:="00000000";
elsif Iin_var>IRetif1_var then dif2:=Iin_var-IRetif1_var; dif1:="00000000";
else dif1:="00000000"; dif2:="00000000";
end if;
if Aquis_partida='1' then Estado_offset<=Son; end if;
when Son =>
if Iin_var<dif2 then Iin_offset_var:="00000000"; else Iin_offset_var:=Iin_var+dif1-dif2;
end if;
if Aquis_partida='0' then Estado_offset<=Stemp; end if;
when others =>. null;
end case;
Iin_offset<=Iin_offset_var;
end if;
end process;
end Behavioral;
Componente: “Modulador_Histerese_gerenciador”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Modulador_Histerese_gerenciador is
port( Clk_Mod_gerHist: in std_logic:='0';
Iin_1_gerHist : in std_logic_vector (7 downto 0):="00000000";
Iin_2_gerHist : in std_logic_vector (7 downto 0):="00000000";
Iin_3_gerHist : in std_logic_vector (7 downto 0):="00000000";
Ref_Sin_1_gerHist : in std_logic_vector (8 downto 0):="000000000";
Ref_Sin_2_gerHist : in std_logic_vector (8 downto 0):="000000000";
Ref_Sin_3_gerHist : in std_logic_vector (8 downto 0):="000000000";
On_Sp1_gerHist: in std_logic:='0';
On_Sp2_gerHist: in std_logic:='0';
On_Sp3_gerHist: in std_logic:='0';
PulsoSEPIC_1_gerHist: out std_logic:='0';
PulsoSEPIC_2_gerHist: out std_logic:='0';
PulsoSEPIC_3_gerHist: out std_logic:='0' );
end Modulador_Histerese_gerenciador;
256
architecture Behavioral of Modulador_Histerese_gerenciador is
component Modulador_Histerese
port( Clk_Mod: in std_logic:='0';
Iin : in std_logic_vector (7 downto 0):="00000000";
Ref_Sin : in std_logic_vector (8 downto 0):="000000000";
Pulso_SEPIC: out std_logic:='0';
On_Sp: in std_logic:='0' );
end component;
begin
Inst_Modulador_Histerese1 : Modulador_Histerese port map
( Clk_Mod => Clk_Mod_gerHist,
Iin => Iin_1_gerHist,
Ref_Sin => Ref_Sin_1_gerHist,
Pulso_SEPIC => PulsoSEPIC_1_gerHist,
On_Sp => On_Sp1_gerHist );
Inst_Modulador_Histerese2 : Modulador_Histerese port map
( Clk_Mod => Clk_Mod_gerHist,
Iin => Iin_2_gerHist,
Ref_Sin => Ref_Sin_2_gerHist,
Pulso_SEPIC => PulsoSEPIC_2_gerHist,
On_Sp => On_Sp2_gerHist );
Inst_Modulador_Histerese3 : Modulador_Histerese port map
( Clk_Mod => Clk_Mod_gerHist,
Iin => Iin_3_gerHist,
Ref_Sin => Ref_Sin_3_gerHist,
Pulso_SEPIC => PulsoSEPIC_3_gerHist,
On_Sp => On_Sp3_gerHist );
end Behavioral;
Componente: “Modulador_Histerese” Obs: No Capítulo 5 : Componente “D”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Modulador_Histerese is
port( Clk_Mod: in std_logic:='0';
Control_Isin: in std_logic:='0';
Iin : in std_logic_vector (7 downto 0):="00000000";
Ref_Sin : in std_logic_vector (8 downto 0):="000000000";
Pulso_SEPIC: out std_logic:='0';
On_Sp: in std_logic:='0' );
end Modulador_Histerese;
architecture Behavioral of Modulador_Histerese is
type estados2 is (SP2_Start,SP2_On,SP2_On_Pulso,SP2_Off,
SP2_transicao_Off_0, SP2_transicao_On_1, SP2_OverCurrent);
signal Gate_Sepic : estados2;
signal Sinal_Clock : std_logic:='0';
type estados4 is (Start,S_ON,S_OFF);
signal GeraClock : estados4;
begin
process(Clk_Mod)
variable j: integer range 0 to 511 :=0;
variable On_Sp_var : std_logic:='0';
begin
if(Clk_Mod'event and Clk_Mod='1') then On_Sp_var:=On_Sp;
257
if On_Sp_var='0' then GeraClock<=Start; end if;
case GeraClock is
when Start => Sinal_Clock<='0'; j:=0;
if On_Sp='1' then GeraClock<=S_ON; end if;
when S_ON => Sinal_Clock<='1'; j:=j+1;
if j=6 then j:=0; GeraClock<=S_OFF; end if;
if On_Sp='0' then GeraClock<=Start; end if;
when S_OFF => Sinal_Clock<='0'; j:=j+1;
if j=6 then j:=0; GeraClock<=S_ON; end if;
if On_Sp='0' then GeraClock<=Start; end if;
end case;
end if;
end process;
process(Sinal_Clock)
constant Iin_Pico : std_logic_vector (8 downto 0):="010101010";
variable On_Sp_var : std_logic:='0';
variable Iin_var : std_logic_vector (8 downto 0):="000000000";
variable Ref_Sin_temp : std_logic_vector (8 downto 0):="000000000";
variable cont_Off: integer range 0 to 300:=0;
variable cont_On: integer range 0 to 2000:=0;
constant Ton : integer:=60;
begin
if(Sinal_Clock'event and Sinal_Clock='1') then On_Sp_var:=On_Sp;
Iin_var:=('0'&Iin);
if Ref_Sin_temp>"010100000" then Ref_Sin_temp:="010100000"; else Ref_Sin_temp:=Ref_Sin;
end if;
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
case Gate_Sepic is
when SP2_Start => Pulso_SEPIC<='0'; Gate_Sepic<=SP2_Start;
if On_Sp_var='1' then Gate_Sepic<=SP2_On_Pulso; end if;
when SP2_On => Pulso_SEPIC<='1'; Gate_Sepic<=SP2_On; cont_On:=0;
if Iin_var>=Ref_Sin_temp then Gate_Sepic<=SP2_On_Pulso; cont_Off:=0;
end if;
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
if Iin_var>=Iin_Pico then Gate_Sepic<=SP2_OverCurrent; Pulso_SEPIC<='0'; end if;
when SP2_On_Pulso => Pulso_SEPIC<='1; Gate_Sepic<=SP2_On_Pulso; cont_On:=cont_On+1;
if cont_On>=Ton then Gate_Sepic<=SP2_transicao_Off_0; cont_Off:=0; end if;
258
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
if Iin_var>=Iin_Pico then Gate_Sepic<=SP2_OverCurrent; Pulso_SEPIC<='0'; end if;
when SP2_transicao_Off_0 => Pulso_SEPIC<='0'; Gate_Sepic<=SP2_transicao_Off_0; cont_On:=0;
cont_Off:=cont_Off+1;
if cont_Off=15 then Gate_Sepic<=SP2_Off; end if;
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
if Iin_var>=Iin_Pico then Gate_Sepic<=SP2_OverCurrent; Pulso_SEPIC<='0'; end if;
when SP2_Off => Pulso_SEPIC<='0'; Gate_Sepic<=SP2_Off;
if Iin_var<=Ref_Sin_temp then Gate_Sepic<=SP2_transicao_On_1; cont_Off:=0; end if;
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
if Iin_var>=Iin_Pico then Gate_Sepic<=SP2_OverCurrent; Pulso_SEPIC<='0';
end if;
when SP2_transicao_On_1 => Pulso_SEPIC<='1'; Gate_Sepic<=SP2_transicao_On_1; cont_Off:=0;
cont_On:=cont_On+1;
if cont_On=20 then Gate_Sepic<=SP2_On; end if;
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
if Iin_var>=Iin_Pico then Gate_Sepic<=SP2_OverCurrent; Pulso_SEPIC<='0'; end if;
when SP2_OverCurrent => Pulso_SEPIC<='0'; Gate_Sepic<=SP2_OverCurrent;
if On_Sp_var='0' then Gate_Sepic<=SP2_Start; end if;
when others => null;
end case;
end if;
end process;
end Behavioral;
Componente: “Sinal_sin_gerenciador”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Sinal_sin_gerenciador is
Port ( Clk_in_gerSin: in std_logic:='0';
Semiciclo_Va_gerSin: in std_logic:='0';
Semiciclo_Vb_gerSin: in std_logic:='0';
Semiciclo_Vc_gerSin: in std_logic:='0';
SW5_Aquisicao_gerSin: in std_logic:='0';
Isin_1_gerSin : out std_logic_vector (7 downto 0):="00000000";
Isin_2_gerSin : out std_logic_vector (7 downto 0):="00000000";
Isin_3_gerSin : out std_logic_vector (7 downto 0):="00000000" );
end Sinal_sin_gerenciador;
architecture Behavioral of Sinal_sin_gerenciador is
component SenoRef
259
Port ( Clk_in: in std_logic:='0';
Semiciclo_Va: in std_logic:='0';
SW5_Aquisicao: in std_logic:='0';
Isin_1 : out std_logic_vector (7 downto 0):="00000000" );
end component;
begin
Inst_SenoRef1 : SenoRef port map
( Clk_in => Clk_in_gerSin,
Semiciclo_Va => Semiciclo_Va_gerSin,
SW5_Aquisicao => SW5_Aquisicao_gerSin,
Isin_1 => Isin_1_gerSin );
Inst_Sen Inst_SenoRef2 : SenoRef port map
( Clk_in => Clk_in_gerSin,
Semiciclo_Va => Semiciclo_Vb_gerSin,
SW5_Aquisicao => SW5_Aquisicao_gerSin,
Isin_1 => Isin_2_gerSin );
Inst_SenoRef3 : SenoRef port map
( Clk_in => Clk_in_gerSin,
Semiciclo_Va => Semiciclo_Vc_gerSin,
SW5_Aquisicao => SW5_Aquisicao_gerSin,
Isin_1 => Isin_3_gerSin );
end Behavioral;
Componente: “SenoRef” Obs: Referenciado no Capítulo 5 como Componente “B”
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SenoRef is
Port ( Clk_in: in std_logic:='0';
Semiciclo_Va: in std_logic:='0';
SW5_Aquisicao: in std_logic:='0';
Isin_1 : out std_logic_vector (7 downto 0):="00000000" );
end SenoRef;
architecture Behavioral of SenoRef is
signal Sinal_20us : std_logic:='0';
type estados2 is (Start_2,S0,S1,SONpos);
signal Estado2 : estados2;
type estados4 is (Start,S_ON,S_OFF);
signal GeraClock : estados4;
begin
process(Clk_in)
variable j: integer range 0 to 511 :=0;
begin
if(Clk_in'event and Clk_in='1') then
if SW5_Aquisicao='0' then GeraClock<=Start; end if;
case GeraClock is
when Start => Sinal_20us<='0'; j:=0;
if SW5_Aquisicao='1' then GeraClock<=S_ON; end if;
260
when S_ON => Sinal_20us<='1'; j:=j+1;
if j=500 then j:=0; GeraClock<=S_OFF; end if;
if SW5_Aquisicao='0' then GeraClock<=Start; end if;
when S_OFF => Sinal_20us<='0';
j:=j+1;
if j=500 then j:=0; GeraClock<=S_ON; end if;
if SW5_Aquisicao='0' then GeraClock<=Start; end if;
end case;
end if;
end process;
process (Sinal_20us)
variable Isin : std_logic_vector (7 downto 0):="00000000";
variable periodo1,periodo2 : integer range 0 to 418:=0;
variable periodo : integer range 0 to 417:=0;
begin
if(Sinal_20us'event and Sinal_20us='1') then
if SW5_Aquisicao='0' then Estado2<=Start_2; end if;
case Estado2 is
when Start_2 =>
if SW5_Aquisicao='1' then Estado2<=S0; end if;
when S0 =>
if Semiciclo_Va='1' then Estado2<=S1; end if;
when S1 =>
if Semiciclo_Va='0' then Estado2<=SONpos; periodo:=0; periodo1:=0; periodo2:=0; end if;
when SONpos =>
case periodo is
when 0 => Isin:="00000001";
when 1 => Isin:="00000010";
when 2 => Isin:="00000100";
when 3 => Isin:="00000110";
when 4 => Isin:="00001000";
when 5 => Isin:="00001010";
when 6 => Isin:="00001100";
when 7 => Isin:="00001110";
when 8 => Isin:="00010000";
when 9 => Isin:="00010010";
when 10 => Isin:="00010100";
when 11 => Isin:="00010110";
261
when 12 => Isin:="00011000";
when 13 => Isin:="00011001";
when 14 => Isin:="00011011";
when 15 => Isin:="00011101";
when 16 => Isin:="00011111";
when 17 => Isin:="00100001";
when 18 => Isin:="00100011";
when 19 => Isin:="00100101";
when 20 => Isin:="00100111";
when 21 => Isin:="00101001";
when 22 => Isin:="00101011";
when 23 => Isin:="00101100";
when 24 => Isin:="00101110";
when 25 => Isin:="00110000";
when 26 => Isin:="00110010";
when 27 => Isin:="00110100";
when 28 => Isin:="00110110";
when 29 => Isin:="00111000";
when 30 => Isin:="00111010";
when 31 => Isin:="00111100";
when 32 => Isin:="00111101";
when 33 => Isin:="00111111";
when 34 => Isin:="01000001";
when 35 => Isin:="01000011";
when 36 => Isin:="01000101";
when 37 => Isin:="01000111";
when 38 => Isin:="01001001";
when 39 => Isin:="01001010";
when 40 => Isin:="01001100";
when 41 => Isin:="01001110";
when 42 => Isin:="01010000";
when 43 => Isin:="01010010";
when 44 => Isin:="01010100";
when 45 => Isin:="01010101";
when 46 => Isin:="01010111";
when 47 => Isin:="01011001";
when 48 => Isin:="01011011";
when 49 => Isin:="01011101";
when 50 => Isin:="01011110";
when 51 => Isin:="01100000";
when 52 => Isin:="01100010";
when 53 => Isin:="01100100";
when 54 => Isin:="01100101";
when 55 => Isin:="01100111";
when 56 => Isin:="01101001";
when 57 => Isin:="01101011";
when 58 => Isin:="00000101";
when 59 => Isin:="00000100";
when 60 => Isin:="00000100";
when 61 => Isin:="00000100";
when 62 => Isin:="00000100";
when 63 => Isin:="00000100";
when 64 => Isin:="00000011";
when 65 => Isin:="00000011";
when 66 => Isin:="00000011";
when 67 => Isin:="00000011";
when 68 => Isin:="00000011";
when 69 => Isin:="00000010";
when 70 => Isin:="00000010";
when 71 => Isin:="00000010";
262
when 72 => Isin:="00000010";
when 73 => Isin:="00000010";
when 74 => Isin:="00000001";
when 75 => Isin:="00000001";
when 76 => Isin:="00000001";
when 77 => Isin:="00000001";
when 78 => Isin:="00000001";
when 79 => Isin:="00000010";
when 80 => Isin:="00000011";
when 81 => Isin:="00000101";
when 82 => Isin:="00000111";
when 83 => Isin:="00001000";
when 84 => Isin:="00001010";
when 85 => Isin:="00001011";
when 86 => Isin:="00001101";
when 87 => Isin:="00001110";
when 88 => Isin:="00010000";
when 89 => Isin:="00010001";
when 90 => Isin:="00010011";
when 91 => Isin:="00010100";
when 92 => Isin:="00010110";
when 93 => Isin:="00010111";
when 94 => Isin:="00011001";
when 95 => Isin:="00011010";
when 96 => Isin:="00011100";
when 97 => Isin:="00011101";
when 98 => Isin:="00011111";
when 99 => Isin:="00100000";
when 100 => Isin:="00100001";
when 101 => Isin:="00100011";
when 102 => Isin:="00100100";
when 103 => Isin:="00100110";
when 104 => Isin:="00100111";
when 105 => Isin:="00101000";
when 106 => Isin:="00101010";
when 107 => Isin:="00101011";
when 108 => Isin:="00101100";
when 109 => Isin:="00101110";
when 110 => Isin:="00101111";
when 111 => Isin:="00110000";
when 112 => Isin:="00110001";
when 113 => Isin:="00110011";
when 114 => Isin:="00110100";
when 115 => Isin:="00110101";
when 116 => Isin:="00110110";
when 117 => Isin:="00111000";
when 118 => Isin:="00111001";
when 119 => Isin:="00111010";
when 120 => Isin:="00111011";
when 121 => Isin:="00111101";
when 122 => Isin:="00111110";
when 123 => Isin:="00111111";
when 124 => Isin:="01000000";
when 125 => Isin:="01000001";
when 126 => Isin:="01000010";
when 127 => Isin:="01000011";
when 128 => Isin:="01000100";
when 129 => Isin:="01000110";
when 130 => Isin:="01000111";
when 131 => Isin:="01001000";
263
when 132 => Isin:="01001001";
when 133 => Isin:="01001010";
when 134 => Isin:="01001011";
when 135 => Isin:="01001100";
when 136 => Isin:="01001101";
when 137 => Isin:="01001110";
when 138 => Isin:="01001111";
when 139 => Isin:="01010000";
when 140 => Isin:="01010001";
when 141 => Isin:="01010010";
when 142 => Isin:="01010011";
when 143 => Isin:="01010100";
when 144 => Isin:="01010100";
when 145 => Isin:="01010101";
when 146 => Isin:="01010110";
when 147 => Isin:="01010111";
when 148 => Isin:="01011000";
when 149 => Isin:="01011001";
when 150 => Isin:="01011010";
when 151 => Isin:="01011010";
when 152 => Isin:="01011011";
when 153 => Isin:="01011100";
when 154 => Isin:="01011101";
when 155 => Isin:="01011101";
when 156 => Isin:="01011110";
when 157 => Isin:="01011111";
when 158 => Isin:="01100000";
when 159 => Isin:="01100000";
when 160 => Isin:="01100001";
when 161 => Isin:="01100010";
when 162 => Isin:="01100010";
when 163 => Isin:="01100011";
when 164 => Isin:="01100100";
when 165 => Isin:="01100100";
when 166 => Isin:="01100101";
when 167 => Isin:="01100110";
when 168 => Isin:="01100110";
when 169 => Isin:="01100111";
when 170 => Isin:="01100111";
when 171 => Isin:="01101000";
when 172 => Isin:="01101000";
when 173 => Isin:="01101001";
when 174 => Isin:="01101001";
when 175 => Isin:="01101010";
when 176 => Isin:="01101010";
when 177 => Isin:="01101011";
when 178 => Isin:="01101011";
when 179 => Isin:="01101100";
when 180 => Isin:="01101100";
when 181 => Isin:="01101100";
when 182 => Isin:="01101101";
when 183 => Isin:="01101101";
when 184 => Isin:="01101110";
when 185 => Isin:="01101110";
when 186 => Isin:="01101110";
when 187 => Isin:="01101111";
when 188 => Isin:="01101111";
when 189 => Isin:="01101111";
when 190 => Isin:="01101111";
when 191 => Isin:="01110000";
264
when 192 => Isin:="01110000";
when 193 => Isin:="01110000";
when 194 => Isin:="01110000";
when 195 => Isin:="01110001";
when 196 => Isin:="01110001";
when 197 => Isin:="01110001";
when 198 => Isin:="01110001";
when 199 => Isin:="01110001";
when 200 => Isin:="01110001";
when 201 => Isin:="01110001";
when 202 => Isin:="01110010";
when 203 => Isin:="01110010";
when 204 => Isin:="01110010";
when 205 => Isin:="01110010";
when 206 => Isin:="01110010";
when 207 => Isin:="01110010";
when 208 => Isin:="01110010";
when 209 => Isin:="01110010";
when 210 => Isin:="01110010";
when 211 => Isin:="01110010";
when 212 => Isin:="01110010";
when 213 => Isin:="01110010";
when 214 => Isin:="01110010";
when 215 => Isin:="01110010";
when 216 => Isin:="01110001";
when 217 => Isin:="01110001";
when 218 => Isin:="01110001";
when 219 => Isin:="01110001";
when 220 => Isin:="01110001";
when 221 => Isin:="01110001";
when 222 => Isin:="01110000";
when 223 => Isin:="01110000";
when 224 => Isin:="01110000";
when 225 => Isin:="01110000";
when 226 => Isin:="01110000";
when 227 => Isin:="01101111";
when 228 => Isin:="01101111";
when 229 => Isin:="01101111";
when 230 => Isin:="01101110";
when 231 => Isin:="01101110";
when 232 => Isin:="01101110";
when 233 => Isin:="01101101";
when 234 => Isin:="01101101";
when 235 => Isin:="01101101";
when 236 => Isin:="01101100";
when 237 => Isin:="01101100";
when 238 => Isin:="01101011";
when 239 => Isin:="01101011";
when 240 => Isin:="01101011";
when 241 => Isin:="01101010";
when 242 => Isin:="01101010";
when 243 => Isin:="01101001";
when 244 => Isin:="01101001";
when 245 => Isin:="01101000";
when 246 => Isin:="01101000";
when 247 => Isin:="01100111";
when 248 => Isin:="01100111";
when 249 => Isin:="01100110";
when 250 => Isin:="01100101";
when 251 => Isin:="01100101";
265
when 252 => Isin:="01100100";
when 253 => Isin:="01100100";
when 254 => Isin:="01100011";
when 255 => Isin:="01100010";
when 256 => Isin:="01100010";
when 257 => Isin:="01100001";
when 258 => Isin:="01100000";
when 259 => Isin:="01011111";
when 260 => Isin:="01011111";
when 261 => Isin:="01011110";
when 262 => Isin:="01011101";
when 263 => Isin:="01011100";
when 264 => Isin:="01011100";
when 265 => Isin:="01011011";
when 266 => Isin:="01011010";
when 267 => Isin:="01011001";
when 268 => Isin:="01011000";
when 269 => Isin:="01011000";
when 270 => Isin:="01010111";
when 271 => Isin:="01010110";
when 272 => Isin:="01010101";
when 273 => Isin:="01010100";
when 274 => Isin:="01010011";
when 275 => Isin:="01010010";
when 276 => Isin:="01010001";
when 277 => Isin:="01010000";
when 278 => Isin:="01001111";
when 279 => Isin:="01001110";
when 280 => Isin:="01001110";
when 281 => Isin:="01001101";
when 282 => Isin:="01001011";
when 283 => Isin:="01001010";
when 284 => Isin:="01001001";
when 285 => Isin:="01001000";
when 286 => Isin:="01000111";
when 287 => Isin:="01000110";
when 288 => Isin:="01000101";
when 289 => Isin:="01000100";
when 290 => Isin:="01000011";
when 291 => Isin:="01000010";
when 292 => Isin:="01000001";
when 293 => Isin:="01000000";
when 294 => Isin:="00111110";
when 295 => Isin:="00111101";
when 296 => Isin:="00111100";
when 297 => Isin:="00111011";
when 298 => Isin:="00111010";
when 299 => Isin:="00111001";
when 300 => Isin:="00110111";
when 301 => Isin:="00110110";
when 302 => Isin:="00110101";
when 303 => Isin:="00110100";
when 304 => Isin:="00110010";
when 305 => Isin:="00110001";
when 306 => Isin:="00110000";
when 307 => Isin:="00101110";
when 308 => Isin:="00101101";
when 309 => Isin:="00101100";
when 310 => Isin:="00101011";
when 311 => Isin:="00101001";
266
when 312 => Isin:="00101000";
when 313 => Isin:="00100110";
when 314 => Isin:="00100101";
when 315 => Isin:="00100100";
when 316 => Isin:="00100010";
when 317 => Isin:="00100001";
when 318 => Isin:="00100000";
when 319 => Isin:="00011110";
when 320 => Isin:="00011101";
when 321 => Isin:="00011011";
when 322 => Isin:="00011010";
when 323 => Isin:="00011000";
when 324 => Isin:="00010111";
when 325 => Isin:="00010101";
when 326 => Isin:="00010100";
when 327 => Isin:="00010010";
when 328 => Isin:="00010001";
when 329 => Isin:="00001111";
when 330 => Isin:="00001110";
when 331 => Isin:="00001100";
when 332 => Isin:="00001011";
when 333 => Isin:="00001001";
when 334 => Isin:="00001000";
when 335 => Isin:="00000110";
when 336 => Isin:="00000101";
when 337 => Isin:="10010001";
when 338 => Isin:="10001111";
when 339 => Isin:="10001101";
when 340 => Isin:="10001100";
when 341 => Isin:="10001010";
when 342 => Isin:="10001001";
when 343 => Isin:="10000111";
when 344 => Isin:="10000101";
when 345 => Isin:="10000100";
when 346 => Isin:="10000010";
when 347 => Isin:="10000000";
when 348 => Isin:="01111111";
when 349 => Isin:="01111101";
when 350 => Isin:="01111011";
when 351 => Isin:="01111010";
when 352 => Isin:="01111000";
when 353 => Isin:="01110110";
when 354 => Isin:="01110101";
when 355 => Isin:="01110011";
when 356 => Isin:="01110001";
when 357 => Isin:="01101111";
when 358 => Isin:="01101110";
when 359 => Isin:="01101100";
when 360 => Isin:="01101010";
when 361 => Isin:="01101000";
when 362 => Isin:="01100111";
when 363 => Isin:="01100101";
when 364 => Isin:="01100011";
when 365 => Isin:="01100001";
when 366 => Isin:="01100000";
when 367 => Isin:="01011110";
when 368 => Isin:="01011100";
when 369 => Isin:="01011010";
when 370 => Isin:="01011000";
when 371 => Isin:="01010111";
267
when 372 => Isin:="01010101";
when 373 => Isin:="01010011";
when 374 => Isin:="01010001";
when 375 => Isin:="01001111";
when 376 => Isin:="01001101";
when 377 => Isin:="01001100";
when 378 => Isin:="01001010";
when 379 => Isin:="01001000";
when 380 => Isin:="01000110";
when 381 => Isin:="01000100";
when 382 => Isin:="01000010";
when 383 => Isin:="01000001";
when 384 => Isin:="00111111";
when 385 => Isin:="00111101";
when 386 => Isin:="00111011";
when 387 => Isin:="00111001";
when 388 => Isin:="00110111";
when 389 => Isin:="00110101";
when 390 => Isin:="00110011";
when 391 => Isin:="00110010";
when 392 => Isin:="00110000";
when 393 => Isin:="00101110";
when 394 => Isin:="00101100";
when 395 => Isin:="00101010";
when 396 => Isin:="00101000";
when 397 => Isin:="00100110";
when 398 => Isin:="00100100";
when 399 => Isin:="00100010";
when 400 => Isin:="00100000";
when 401 => Isin:="00011111";
when 402 => Isin:="00011101";
when 403 => Isin:="00011011";
when 404 => Isin:="00011001";
when 405 => Isin:="00010111";
when 406 => Isin:="00010101";
when 407 => Isin:="00010011";
when 408 => Isin:="00010001";
when 409 => Isin:="00001111";
when 410 => Isin:="00001101";
when 411 => Isin:="00001011";
when 412 => Isin:="00001001";
when 413 => Isin:="00001000";
when 414 => Isin:="00000110";
when 415 => Isin:="00000100";
when 416 => Isin:="00000010";
when 417 => Isin:="00000000";
end case;
if Semiciclo_Va='1' then periodo1:=periodo1+1; periodo:=periodo1; periodo2:=0; end if;
if Semiciclo_Va='0' then periodo2:=periodo2+1; periodo:=periodo2; periodo1:=0; end if;
if SW5_Aquisicao='0' then Estado2<=Start_2; end if;
Isin_1<=Isin;
end case;
end if;
end process;
end Behavioral;
Livros Grátis
( http://www.livrosgratis.com.br )
Milhares de Livros para Download:
Baixar livros de Administração
Baixar livros de Agronomia
Baixar livros de Arquitetura
Baixar livros de Artes
Baixar livros de Astronomia
Baixar livros de Biologia Geral
Baixar livros de Ciência da Computação
Baixar livros de Ciência da Informação
Baixar livros de Ciência Política
Baixar livros de Ciências da Saúde
Baixar livros de Comunicação
Baixar livros do Conselho Nacional de Educação - CNE
Baixar livros de Defesa civil
Baixar livros de Direito
Baixar livros de Direitos humanos
Baixar livros de Economia
Baixar livros de Economia Doméstica
Baixar livros de Educação
Baixar livros de Educação - Trânsito
Baixar livros de Educação Física
Baixar livros de Engenharia Aeroespacial
Baixar livros de Farmácia
Baixar livros de Filosofia
Baixar livros de Física
Baixar livros de Geociências
Baixar livros de Geografia
Baixar livros de História
Baixar livros de Línguas
Baixar livros de Literatura
Baixar livros de Literatura de Cordel
Baixar livros de Literatura Infantil
Baixar livros de Matemática
Baixar livros de Medicina
Baixar livros de Medicina Veterinária
Baixar livros de Meio Ambiente
Baixar livros de Meteorologia
Baixar Monografias e TCC
Baixar livros Multidisciplinar
Baixar livros de Música
Baixar livros de Psicologia
Baixar livros de Química
Baixar livros de Saúde Coletiva
Baixar livros de Serviço Social
Baixar livros de Sociologia
Baixar livros de Teologia
Baixar livros de Trabalho
Baixar livros de Turismo